Appunti teorici - Fiandrino Claudio

annuncio pubblicitario
Elettronica per le telecomunicazioni
Anno Accademico 2009/2010
Fiandrino Claudio
5 maggio 2010
‡
II
Indice
1 Filtri
1.1 Nozioni base . . . . . . . . . . . . . . . . . . . .
1.1.1 Definizioni . . . . . . . . . . . . . . . . . .
1.1.2 Esempi di filtri ideali . . . . . . . . . . . .
1.1.3 Poli e zeri della funzione di trasferimento
1.2 Progetto di filtri del 1◦ ordine . . . . . . . . . . .
1.2.1 Filtro passa basso . . . . . . . . . . . . .
1.2.2 Filtro passa alto . . . . . . . . . . . . . .
1.2.3 Filtro passa banda . . . . . . . . . . . . .
1.3 Progetto di filtri del 2◦ ordine . . . . . . . . . . .
1.3.1 Analisi teorica . . . . . . . . . . . . . . .
1.3.2 Sensibilità . . . . . . . . . . . . . . . . . .
1.3.3 Realizzazioni circuitali . . . . . . . . . . .
1.4 Tecnica di sintesi RLC . . . . . . . . . . . . . . .
1.5 Filtri con più amplificatori operazionali . . . . .
1.5.1 Filtri a variabili di stato . . . . . . . . . .
1.5.2 Celle biquadratiche . . . . . . . . . . . . .
1.6 Filtri a capacità commutate . . . . . . . . . . . .
1.6.1 Analisi per comportamento ideale . . . .
1.6.2 Comportamenti con capacità parassite . .
1.6.3 Realizzazione di resistori . . . . . . . . . .
1.6.4 Integratore a capacità commutate . . . .
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
1
1
1
2
4
8
8
9
10
11
11
15
15
23
24
24
26
28
28
30
32
34
2 Applicazioni di transistori bipolari
2.1 Amplificatori accordabili a banda stretta . .
2.1.1 Richiami sul modello di Ebers-Moll .
2.1.2 Modelli di piccolo segnale . . . . . .
2.1.3 Polarizzazione . . . . . . . . . . . . .
2.1.4 Amplificatore ad emettitore comune
2.2 Oscillatori . . . . . . . . . . . . . . . . . . .
2.2.1 Teoria degli oscillatori . . . . . . . .
2.2.2 Oscillatore di Colpitts . . . . . . . .
2.3 Mixer . . . . . . . . . . . . . . . . . . . . .
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
39
40
40
41
41
46
54
54
56
57
III
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
IV
INDICE
2.3.1
2.3.2
Mixer a Transconduttanza . . . . . . . . . . . . . . . .
Mixer a Stadio differenziale . . . . . . . . . . . . . . .
58
59
3 PLL
3.1 Introduzione . . . . . . . . . . . . . . . .
3.2 Analisi . . . . . . . . . . . . . . . . . . .
3.2.1 Schema a blocchi e analisi teorica
3.2.2 Funzione di trasferimento . . . .
3.2.3 Analisi sul tipo di HLP (s) . . . .
3.2.4 Condizioni di aggancio del PLL .
3.3 Realizzazioni circuitali dei componenti .
3.3.1 Demodulatori di fase . . . . . . .
3.3.2 VCO . . . . . . . . . . . . . . . .
3.4 Applicazioni dei PLL . . . . . . . . . . .
3.4.1 Sintetizzatori di frequenza . . . .
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
65
65
66
66
67
69
70
73
73
81
86
86
4 Convertitori
4.1 Introduzione . . . . . . .
4.2 Campionamento . . . .
4.3 Quantizzazione . . . . .
4.4 Realizzazioni circuitali .
4.4.1 Errori . . . . . .
4.4.2 Convertitori D/A
4.4.3 Convertitori A/D
4.4.4 Sample & Hold .
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
89
89
91
93
100
100
100
105
108
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
Capitolo 1
Filtri
Per realizzare dei filtri i componenti induttivi a frequenze basse, vicino alla
continua, non sono molto adatti.
E’ possibile utilizzare gli amplificatori e, i filtri realizzati con amplificatori,
prendono il nome di filtri attivi.
Questa definizione deriva dal fatto che servono sia a filtrare il segnale sia ad
amplificarlo in banda passante.
1.1
1.1.1
Nozioni base
Definizioni
Funzione di trasferimento
La funzione di trasferimento di un sistema viene definita, nel dominio di
Laplace, come:
N (s)
H(s) =
D(s)
dove:
. il numeratore è un poliniomio di ordine m;
. il denominatore è un poliniomio di ordine n.
La condizione vincolante è:
m≤n
Trasmissività
La trasmissività è una particolare funzione di trasferimento che lega le tensioni di ingresso e di uscita di un sistema; viene definita, sempre nel dominio
1
2
CAPITOLO 1. Filtri
di Laplace, come:
T (s) =
Vout (s)
Vin (s)
In generale è un numero complesso che si può esprimere in termini di modulo
e fase:
T (jω) = |T (jω)| e jφω
con s = jω.
Guadagno
Il guadagno di un filtro si definisce:
G(ω) = |T (jω)||dB = 20 log10 (|T (jω)|)
Se:
. è positivo si parla di guadagno;
. è negativo si parla di attenuazione.
1.1.2
Esempi di filtri ideali
Gli esempi di filtri ideali sono:
. filtro passa basso;
. filtro passa alto;
. filtro passa banda.
Filtro passa basso
Grafico:
|T |
ωp
ω
La parte colorata rappresenta la banda passante; al di fuori il segnale
viene attenuato e si parla di banda attenuata.
1.1. Nozioni base
3
Filtro passa alto
Grafico:
|T |
ωp
ω
Come nel caso precedente la parte colorata indica la banda passante.
Filtro passa banda
Grafico:
|T |
ωp1
ωp2 ω
Anche in questo caso la banda passante è colorata.
I fronti di salita e di discesa sono verticali quindi non possono essere implementati fisicamente nella realtà. Di un filtro reale, nelle specifiche, si
conosce la maschera.
Ad esempio, per il filtro passa basso, la maschera è:
|T |
ωp
ωs
ω
La zona bianca è quella zona in cui il segnale è trasmesso; all’interno si
distinguono ancora tre sezioni, a seconda della modalità con cui il segnale
può passare:
4
CAPITOLO 1. Filtri
|T |
ωp
ωs
ω
La parte tratteggiata in azzurro prende il nome di banda passante: in questa
zona il segnale non subisce attenuazione.
Nella parte tratteggiata in arancione, invece, il segnale è molto attenuato;
per questo motivo prende il nome di banda attenuata.
La zona di passaggio fra banda passante e banda attenuata prende il nome
di selettività; nel grafico è la parte evidenziata in verde.
La selettività è un’indice di quanto è ripido un filtro: più ωp e ωs sono vicine
più il filtro sarà ripido e quindi selettivo.
L’escursione verticale, invece, è il parametro che indica l’attenuazione totale
introdotta dal filtro.
1.1.3
Poli e zeri della funzione di trasferimento
Il numero di poli della funzione di trasferimento definisce l’ordine del filtro;
denotando con:
. pi i poli del denominatore;
. zi gli zeri del numeratore;
si può esprimere la trasmissività come:
Q
(s − zi )
T (s) = k · Qi
i (s − pi )
Sul piano complesso i poli devono essere presenti sulla parte colorata:
jω
σ
Inoltre possono essere solo:
1.1. Nozioni base
5
. reali;
. a coppie complesse coniugate.
Graficamente:
jω
α
σ
−α
dove:
. in giallo sono colorati i poli reali;
. in arancione sono colorate le coppie di poli complessi coniugati.
Il denominatore della funzione di trasferimento si può esprimere:
. D(s) = (s − σi ) nel caso di poli reali;
. D(s) = s2 + 2ξω0 + ω02 nel caso di poli a coppie complesse coniugate.
I coefficienti:
. ω0 rappresenta la pulsazione di risonanza;
. ξ rappresenta il coefficiente di smorzamento.
Si definisce Q, fattore di qualità, l’espressione:
Q=
1
2ξ
Le radici del polinomio s2 + 2ξω0 + ω02 sono:
r
1
2ω0
φ1,2 = −
± jω0 · 1 −
Q
4Q2
oppure in funzione del coefficiente di smorzamento:
p
φ1,2 = −ξω0 ± jω0 · 1 − ξ 2
Per ottenere poli a coppie complesse coniugate è necessario che il termine
p
± jω0 · 1 − ξ 2
6
CAPITOLO 1. Filtri
sia immaginario e ciò accade se la radice è reale:
p
1 − ξ2 ∈ R
=⇒
1 − ξ2 > 0
=⇒
|ξ| < 1
In termini di Q, invece:
Q > 0.5
Nel caso in cui:
allora il termine:
p
1 − ξ2 ∈ I
± jω0 ·
è puramente reale e i poli sono reali.
p
1 − ξ2
Riassumendo, dato un polinomio di secondo grado a denominatore della
funzione di trasferimento, si hanno:
Poli
Condizioni su ξ
Condizioni su Q
a coppie complesse coniugate
|ξ| < 1
Q > 0.5
a coppie reali
|ξ| > 1
Q < 0.5
Esempio
Considerando il sistema:
x(t)
h(t)
y(t)
e ipotizzando di realizzare una funzione di trasferimento di tipo passa basso
come si esprime l’uscita y(t) se in ingresso viene posto:
x(t) = Ai · δ (t)
Nel dominio di Laplace:
Y (s) = X(s) · H(s)
Per realizzare un filtro passa basso è necessario che ci sia un solo polo e
nessun zero nella H(s):
Q
i (αi )
Q
H(s) =
i (s − pi )
con αi ∈ R.
Quindi:
Q
(αi )
Y (s) = Ai · Q i
(s
− pi )
i
1.1. Nozioni base
7
Antitrasformando si ottiene:
y(t) ∝
X
i
αi · e pi t
L’uscita è la somma di tanti termini esponenziali dove:
pi = −σi + jωi
Graficamente:
jω
jωi
σ
−σi
Se pi è reale allora:
y(t) = e −σi t
che rappresenta la risposta di un sistema del primo ordine stabile.
Visualizziamo graficamente ingresso e uscita per questo tipo di sistemi:
x(t)
y(t)
t
t
Se pi è complesso allora i poli sono due:
p1,2 = −σi ± jωi
La risposta del sistema in questo caso cambia:
y(t) ∝ e −σi t · e − jωi t + e + jωi t ∝ e −σi t · cos(ωi t)
Graficamente:
x(t)
y(t)
t
t
8
CAPITOLO 1. Filtri
L’uscita presenta oscillazioni di pulsazione ωi 6= ω0 che si attenuano di σi .
Il termine σi si esprime:
1
ω0
σi = ξω0 =
2Q
Il fattore di qualità Q, quindi, rappresenta fisicamente la rapidità con cui le
oscillazioni si smorazano nel tempo.
Più è elevato il fattore di qualità più le oscillazioni si smorzano lentamente;
al limite, per Q −→ ∞, i poli si trovano sull’asse immaginario e le oscillazioni
non si smorzano: si realizza un oscillatore.
Al contrario, per Q bassi, le oscillazioni si smorzano molto velocemente.
1.2
1.2.1
Progetto di filtri del 1◦ ordine
Filtro passa basso
Il circuito è:
R2
C2
R1
−
+
Vin
Vout
I parametri da considerare sono:
. trasmissività;
. amplificazione in banda;
. frequenza del polo.
La trasmissività si esprime con:
T (s) =
1
//R2
Vout
Z2
R2
1
=−
= − sC2
=−
·
Vin
Z1
R1
R1 1 + sR2 C2
L’amplificazione in banda (in continua per il filtro passa basso) è data da:
T (0) = −
R2
R1
1.2. Progetto di filtri del 1◦ ordine
9
La frequenza del polo:
fp =
1
2πR2 C2
mentre la pulsazione del polo:
ωp =
1
R2 C2
in quanto ω = 2πf .
I diagrammi di Bode, modulo e fase, sono:
dB
∠
fp
f
0
−10
−20
−30
−40
−50
fp
f
0◦
−45◦
−90◦
Le specifiche di progetto sono:
. amplificazione in banda controllata attraverso R1 e R2 ;
. frequenza del polo controllata attraverso C2 .
1.2.2
Filtro passa alto
Lo schema circuitale è il seguente:
R2
R1
C1
−
Vin
+
I parametri da considerare sono, come nel caso precedente:
. trasmissività;
. amplificazione in banda;
Vout
10
CAPITOLO 1. Filtri
. frequenza del polo.
La trasmissività si esprime con:
T (s) =
Vout
Z2
sR2 C1
=−
=−
Vin
Z1
1 + sR1 C1
L’amplificazione in banda (f −→ ∞ per il filtro passa alto) è data da:
T (∞) = −
La frequenza del polo:
fp =
R2
R1
1
2πR1 C1
e la pulsazione del polo:
1
R1 C1
I diagrammi di Bode, modulo e fase, sono:
ωp =
dB
∠
fp
f
0
−10
−20
−30
−40
−50
1.2.3
fp
f
90◦
45◦
0◦
Filtro passa banda
Il circuito è:
R2
C2
R1
C1
−
Vin
+
Vout
1.3. Progetto di filtri del 2◦ ordine
11
La trasmissività è:
T (s) =
Z2
sR2 C1
Vout
=−
=−
Vin
Z1
(1 + sR1 C1 ) · (1 + sR2 C2 )
L’amplificazione in banda passante è data da:
−
R2
R1
In questo caso i poli sono due:
fp1 =
1
2πR1 C1
fp2 =
1
2πR2 C2
ωp2 =
1
R2 C2
quindi anche le pulsazioni sono due:
ωp1 =
1
R1 C1
I diagrammi di Bode, modulo e fase, sono:
dB
∠
fp1
fp2
0
−10
−20
−30
−40
−50
1.3
1.3.1
f
fp1
fp2
90◦
f
0◦
−90◦
Progetto di filtri del 2◦ ordine
Analisi teorica
Per un filtro del secondo ordine la funzione di trasferimento sarà del tipo:
H(s) =
s2
N (s)
+ 2ξω0 s + ω02
dove, a denominatore, le radici saranno complesse coniugate (Q > 0.5).
Analizziamo per i vari tipi di filtro la forma del numeratore N (s) e quale
influenza ha sui diagrammi di Bode.
12
CAPITOLO 1. Filtri
Filtro passa basso
Il filtro passa basso ha la proprietà di avere:
N (s) = costante
Si esprime dunque la funzione di trasferimento come:
H(s) =
κω02
s2 + 2ξω0 s + ω02
Studiamo il comportamento sull’asse delle frequenze, ponendo s = j2πf :
f −→ 0
=⇒
f −→ ∞
=⇒
amplificazione in banda = κ
1
banda attenuata ∼ 2
f
Il diagramma di Bode del modulo di H(s):
dB
f
0
−10
−20
−30
−40
−50
40 dB/dec
Le risposte reali possono essere di due tipi, a seconda del valore di Q:
dB
dB
f
0
−10
−20
−30
−40
−50
f
0
−10
−20
−30
−40
−50
0.5 < Q < 0.707
Q > 0.707
Il secondo grafico evidenzia il picco di risonanza o sovraelongazione: più
cresce Q più cresce il picco; nel dominio delle frequenze, inoltre, l’altezza del
picco è proprio data dal valore di Q.
In dettaglio:
|H(s)||dB = κ|dB + Q|dB
1.3. Progetto di filtri del 2◦ ordine
13
Graficamente:
Q|dB
κ|dB
ωmax
Diagramma di Bode della fase:
∠
ω0
0◦
ω
−90◦
−180◦
Il cambiamento di fase è tanto più veloce tanto più il Q è elevato; la
pulsazione ω0 è quella per cui il segnale di ingresso risulta sfasato di 90◦ .
Filtro passa alto
La funzione di trasferimento per un filtro passa alto deve avere due zeri
nell’origine, quindi:
N (s) = s2
Si esprime H(s) come:
H(s) =
κs2
s2 + 2ξω0 s + ω02
Il diagramma di Bode del modulo di H(s):
dB
0
−10
−20
−30
−40
−50
f
14
CAPITOLO 1. Filtri
Come per il filtro passa basso, le risposte reali possono essere di due tipi:
dB
dB
f
0
−10
−20
−30
−40
−50
f
0
−10
−20
−30
−40
−50
0.5 < Q < 0.707
Q > 0.707
Filtro passa banda
Per il filtro passa banda la funzione di trasferimento risulta essere:
κsω0
H(s) = 2
s + 2ξω0 s + ω02
Il diagramma di Bode del modulo:
dB
fL
fH
0
−10
−20
−30
−40
−50
f
La risposta reale è:
dB
fL
0
−10
−20
−30
−40
−50
fH
f
-3 dB
I punti a −3 dB dal picco individuano le frequenze:
r
r
1
1
1
1
−
+
fH = f0 ·
1+
1+
fL = f0 ·
4Q2 2Q
4Q2 2Q
1.3. Progetto di filtri del 2◦ ordine
15
Esiste una formula alternativa per il calcolo del fattore di qualità:
Q=
f0
f0
=
BW
fH − fL
Più è elevato il Q più il filtro sarà selettivo il frequenza e il picco sarà più
stretto; ciò comporta anche un’aumento dell’amplificazione che può essere
uno svantaggio in quanto le frequenze amplificate sono molto vicine alla
frequenza f0 .
Graficamente:
dB
f
0
−10
−20
−30
−40
−50
1.3.2
Sensibilità
La sensibilità è un coefficiente che quantifica le variazioni di parametri reali
rispetto a quelli di progetto.
La condizione ideale sarebbe avere una sensibilità nulla corrispondente al
fatto di misurare gli stessi parametri di progetto, ma è pressochè impossibile.
Si definisce sensibilità:
Syx =
1.3.3
∂y 1
·
y ∂x
x
Realizzazioni circuitali
Per realizzare un filtro del secondo ordine è possibile utilizzare due tipi di
celle:
. celle a guadagno finito;
. celle a guadagno infinito (celle a reazioni multiple).
Considerando il primo tipo si procede ad analizzare la cella Sallen-Key.
16
CAPITOLO 1. Filtri
Cella Sallen-Key
La realizzazione circuitale prevede inizialmente di utilizzare ammettenze
generiche Yi che verranno sostituite con condensatori o resistenze a seconda del tipo di filtro che si vuole realizzare (passa basso, passa alto, passa
banda).
Circuito generico:
Y2
Y1
i1
Vin
−
i2
+
i3
Y3
Vx
Vout
Vout
Y4
Per determinare la trasmissività occorre scrivere le equazioni della corrente
sul nodo colorato in rosso e della tensione Vout :

Vout (Vin − Vx ) · Y1 = (Vx − Vout ) · Y3 + (Vx − Vout ) · Y2
T (s) =
Y3
Vin Vout =
· Vx
Y3 + Y4
dove:
. (Vin − Vx ) · Y1 = i1 ;
. (Vx − Vout ) · Y2 = i2 ;
. (Vx − Vout ) · Y3 = i3 .
Risolvendo si ottiene:
T (s) =
Vout
Y1 · Y3
=
Vin
Y4 · (Y1 + Y2 + Y3 ) + (Y1 · Y3 )
Per realizzare un filtro passa basso è necessario che il numeratore non presenti zeri, quindi occorre scegliere:
Y1 =
1
R1
Y3 =
1
R3
1.3. Progetto di filtri del 2◦ ordine
17
Poichè il denominatore deve essere un polinomio di secondo grado in s gli
altri due componenti saranno:
Y4 = sC4
Y2 = sC2
Ricapitolando, per il filtro passa basso Sallen-Key:
Ammettenza
Componente usato
1
R1
sC2
1
R3
sC4
Y1
Y2
Y3
Y4
Sostituendo i componenti specifici nel circuito generico si ha:
C2
R1
i1
Vin
−
i2
+
i3
R3
Vx
Vout
C4
Vout
Dati (m, n) ∈ N si preferisce utilizzare per i componenti le seguenti espressioni:
Componente
Espressione
R3
R
R1
mR
C4
C
C2
nC
Le espressioni della pulsazione di risonanza, frequenza del polo e fattore di
qualità sono riportate in tabella sia con la dicitura per componente, sia con
le espressioni introdotte in precedenza:
18
CAPITOLO 1. Filtri
Parametro
Espressione etichette
ω0
1
√
R1 · R3 · C2 · C4
Espressione m, n
√
1
√
2π · R1 · R3 · C2 · C4
√
R1 · R3 · C2 · C4
(R1 + R3 )C1
f0
Q
1
mnRC
1
2π mnRC
√
mn
m+1
√
Si osservi che, nelle espressioni con m, n, il fattore di qualità non dipende
dai componenti scelti, ma solo dal loro rapporto; invece, la f0 , dipende sia
dal rapporto fra i componenti sia dalla costante di tempo (τ = RC).
1
Se Q = √ si ha (m = 1, n = 2) è un caso particolare (Butterworth).
2
Come si può notare dal circuito l’amplificazione in banda di questa cella
è unitaria ed è un limite.
Per sopperire a questa mancanza si introducono le celle KRC, celle di tipo
Sallen-Key con amplificazione in banda pari a κ.
Celle KRC
Le celle KRC, circuitalmente, si realizzano introducendo una rete di reazione
sul morsetto invertente dell’amplificatore:
RB
RA
C2
R1
i1
Vin
−
i2
Vx
i3
+
R3
Vout
C4
Vout
La trasmissività cambia:
T (s) =
κ · Y1 · Y3
Vout
=
Vin
Y4 · (Y1 + Y2 + Y3 ) + (1 − κ) · (Y2 · Y3 ) + (Y1 · Y3 )
1.3. Progetto di filtri del 2◦ ordine
19
Per questo circuito l’amplificazione in banda risulta essere:
κ =1+
RB
RA
La pulsazione di risonanza e la f0 non cambiano espressione, mentre il fattore
di qualità diventa:
√
mn
Q=
m + 1 + (1 − κ) · (mn)
Ora Q oltre a dipendere dal rapporto fra i componenti usati dipende anche
dall’amplificazione in banda che si vuole ottenere.
Per m = 1, n = 1, ponendo quindi uguali i valori delle due resistenze e
uguali i valori dei due condensatori, si ha:
f0 =
1
2πRC
Q=
1
3−κ
Esempio
Si vuole progettare una cella KRC con Q = 10.
Determiniamo il valore di κ:
κ =3−
1
1
=3−
= 2.9
Q
10
Come è noto κ rappresenta l’amplificazione in banda, quindi:
κ =1+
RB
RA
RB
= 1.9
RA
=⇒
Se a causa delle tolleranze sui componenti il rapporto
κ = 2.9 ± %
=⇒
RB
RA
varia di ±1%:
κ = [2.88 ÷ 2.92]
Sostituendo nell’espressione di Q si nota che:
8.3 < Q < 12.5
In conclusione: piccole variazioni su κ generano grandi variazioni su Q; il
fattore di qualità delle celle KRC è molto sensibile al parametro dell’amplificazione di banda. Il progetto risulta critico perchè occorre usare componenti
molto precisi pur di ottenere Q abbastanza vicini al valore teorico desiderato.
20
CAPITOLO 1. Filtri
Considerazioni sulla sensibilità
In questa sezione si analizza come le tolleranze sui componenti influiscano
sui parametri f0 e Q per le celle Sallen-Key e KRC.
Ricapitolando:
Parametro
f0
Q
Sallen-Key
2π ·
√
1
R1 · R3 · C 2 · C 4
√
R1 · R3 · C2 · C4
(R1 + R3 )C1
KRC
1
√
2π · R1 · R3 · C2 · C4
√
R1 · R3 · C2 · C4
(R1 + R3 ) · C4 + (1 − κ) · (R1 · C2 )
⋄ Per la cella Sallen-Key:
SQ
C2 =
1
∂Q C2
·
=
∂C2 Q
2
SQ
C4 =
1
∂Q C4
·
=−
∂C4 Q
2
1
2
Questo tipo di cella ha quindi sensibilità molto basse: con tolleranze
sui componenti del 20% i parametri Q e f0 variando della metà (10%).
Questo è indubbiamente un vantaggio, ma Q e f0 dipendono dai valori
di tutti i componenti quindi modificando il valore di uno automaticamente varia anche l’altro. Sarebbe meglio poter agire indipendentemente su uno, ad esempio Q, senza variare f0 .
Inoltre, per Q elevati, i valori dei componenti utilizzati devono necessariamente essere molto diversi fra loro: se R1 = R3 = R allora
C2
2
C4 = 4Q quindi C2 e C4 avranno capacità di ordini di grandezza
diversi.
SfR01 ,R3 ,C2 ,C4 =
⋄ Per la cella KRC:
SQ
R3 =
1
Q · R3 · C4
−√
2
R1 · R3 · C2 · C4
la sensibilità su Q cresce al crescere di Q; per valori alti del fattore di
qualità il filtro progettato sarà poco preciso.
Cella a reazioni multiple
La cella a reazioni multiple, come descritto nella sezione 1.3.3 a pagina 15,
presentano guadagno infinito.
1.3. Progetto di filtri del 2◦ ordine
21
La loro realizzazione circuitale generale è la seguente:
Y2
Y1
i2
i1
Y5
i3
i3
i4
−
Y3
+
Vin
Vx
Y4
Vout
Per determinare la trasmissività occorre scrivere le equazioni della corrente
sul nodo colorato in rosso e della tensione Vout :

Vout (Vin − Vx ) · Y1 = (Vx − Vout ) · Y2 + Vx · (Y3 + Y4 )
T (s) =
Y
Vin Vout = − 3 · Vx
Y5
dove:
. (Vin − Vx ) · Y1 = i1 ;
. (Vx − Vout ) · Y2 = i2 ;
. Vx · Y3 = Vout · Y5 = i3 .
Risolvendo si ottiene:
T (s) =
Y1 · Y3
Vout
=−
Vin
Y5 · (Y1 + Y2 + Y3 + Y4 ) + (Y2 · Y3 )
Per realizzare un filtro passa banda è necessario che il numeratore abbia uno
zero, quindi occorre scegliere:
Y1 =
1
R1
Y3 = sC3
Poichè il denominatore deve essere un polinomio di secondo grado in s gli
altri componenti saranno:
Y5 =
1
R5
Y4 =
1
R4
Y2 = sC2
22
CAPITOLO 1. Filtri
La scelta non è univoca tuttavia è indispensabile che non sia presente un
anello aperto sull’amplificatore operazionale.
Ricapitolando, per il filtro passa banda con cella a reazioni multiple:
Ammettenza
Componente usato
1
R1
sC2
Y1
Y2
Y3
sC3
1
R4
1
R5
Y4
Y5
Sostituendo i componenti nel circuito generico si ottiene:
C2
i2
R1
i1
Vin
R5
i3
i3
i4
Vx
−
C3
+
R4
Vout
Per questo circuito:
T (s) =
f0 =
s2 C2 C3 R1 R4 R5
sC3 R4 R5
+ sR1 R4 · (C2 + C3 ) + (R1 + R4 )
1
2π
p
C2 C3 R5 · (R1 //R4 )
√
C2 C3 R5
p
Q=
(C2 + C3 ) R1 //R4
Rispetto alla cella Sallen-Key è presente, in più, l’ammettenza Y4 . Se non
fosse presente non si potrebbe fare un progetto con un fattore di qualità alto
altrimenti l’amplificatore operazionale saturerebbe.
1.4. Tecnica di sintesi RLC
1.4
23
Tecnica di sintesi RLC
Un filtro passivo del secondo ordine è rappresentato circuitalmente da:
L
+
Vin
R
C
−
Con:
1
1
R
= Rω0 C
f0 = √
Q=
ω0 L
LC
2π LC
La realizzazione di filtri di ordini superiori al secondo avviene collegando in
cascata tante celle di questo tipo.
Tuttavia, per bande di frequenza basse è noto che l’induttore non si può
utilizzare. Occorre trovare un blocco sostitutivo che abbia lo stesso comportamento di un induttore, ma sia realizzato con resistenze, condensatori ed
amplificatori operazionali.
Questo tipo di circuiti prendono il nome di GIC, o convertitori di impedenza. La loro realizzazione circuitale è la seguente:
ω0 = √
A
Z1
Z2
−
+
Z3
Z4
Z5
−
+
24
CAPITOLO 1. Filtri
Il circuito equivalente è:
A
Z
Con:
Z=
Z1 Z3 Z5
Z1 Z4
Per essere un induttore:
Z = sL
Quindi:
Z2 =
Scegliendo Z2 =
1
sL2
Z4 =
1
sL4
1
gli altri componenti devono essere:
sL2
Z 1 = R1 ,
1.5
oppure
Z 3 = R3 ,
Z 4 = R4 ,
Z 5 = R5
Filtri con più amplificatori operazionali
Per le celle viste fin qui, con un solo amplificatore operazionale, si sono
riscontrati problemi di taratura indipendente per f0 e Q e sensibilità dipendenti da Q.
Al fine di migliorare la precisione occorre introdurre nuove celle con più di
un amplificatore operazionale.
1.5.1
Filtri a variabili di stato
Analisi teorica
La funzione di trasferimento di un filtro passa alto è:
HHP (s) =
κs2
s2 + 2ξω0 s + ω02
Dividendo per s si ottiene una funzione di trasferimento tipica del filtro
passa banda:
κs
HBP (s) = 2
s + 2ξω0 s + ω02
Se si divide ulteriormente per s si nota che il risultato è la funzione di
trasferimento del filtro passa basso:
κ
HLP (s) = 2
s + 2ξω0 s + ω02
1.5. Filtri con più amplificatori operazionali
25
La divisione per s nel dominio di Laplace corrisponde ad un integrazione nel
dominio temporale:
HHP
Vin
R
VHP
R
VBP
VLP
Analiticamente:
VHP = Vin − A1 · VBP − A2 · VLP
con A1 e A2 costanti.
Poichè:
. VBP =
VHP
;
s
. VLP =
VHP
;
s2
Si ha:
VHP
VHP
= Vin − A1 ·
s
VHP
− A2 · 2
s
Con qualche passaggio algebrico:
VHP
A1 A2
+ 2 = Vin
1+
s
s
s2
VHP
= 2
Vin
s + A1 s + A2
=⇒
Realizzazione circuitale
R3
R3
C
R3
R
−
+
Vin
C
R
−
VHP
−
+
+
R1
R2
VBP
VLP
26
CAPITOLO 1. Filtri
Si determina con la sovrapposizione degli effetti la tensione VHP :
VHP |Vin
= −Vin
VHP |VLP
= −VLP
VHP |VBP
= VBP ·
R1
R1 + R2
· 1+
R3
R3 //R3
dove:
1+
R3
R3 //R3
=3
Mettendo a sistema le equazioni:

R1


VHP = −Vin − VLP + VBP
·3


R1 + R2

1
· VHP
VBP = −


sRC


V = − 1 · V
LP
BP
sRC
Si ottiene:
VHP
=−
Vin
s2 R 2 C 2
R1
2
2
2
s R C + s 3RC ·
+1
R1 + R2
I parametri f0 e Q valgono:
1
f0 =
2πRC
1
R2
Q= · 1+
3
R1
Per questa cella l’amplificazione in banda è controllata agendo sui blocchi
integratori ed è indipendente dal fattore di qualità.
1.5.2
Celle biquadratiche
Si sostituisce al blocco sommatore un blocco integratore-sommatore:
1.5. Filtri con più amplificatori operazionali
27
R5
C2
R3
C2
R4
R1
R1
−
R4
−
+
Vin
VBP
−
+
−VLP
+
VLP
Come nel caso precedente si procede con la sovrapposizione degli effetti:
VBP |Vin
VBP |VLP
1
//R2
= − sC1
· Vin
R1
1
//R2
· VLP
= sC1
R5
Mettendo a sistema le equazioni:

1

//R2

VBP = − sC1
· Vin +
R1
V


VLP = − BP
sR4 C2
1
sC1 //R2
R5
· VLP
Si ottiene:
VBP
R2
sR4 R5 C2 /R2
=−
· 2
Vin
R1 s R4 R5 C1 C2 + sR4 R5 C2 /R2 + 1
I parametri f0 e Q valgono:
f0 =
1
√
2π R4 R5 C1 C2
Q = R2 ·
C1
R4 R5 C2
Il fattore di qualità dipende da un parametro, R2 , che non influenza f0 .
A differenza delle variabili di stato per le celle biquadratiche le uscite possibili sono solo due anziche tre; il motivo è dovuto alla sostituzione del blocco
sommatore.
28
CAPITOLO 1. Filtri
1.6
1.6.1
Filtri a capacità commutate
Analisi per comportamento ideale
Per realizzare in forma integrata un interruttore è necessario utilizzare un
transistore MOS:
=⇒
Elenco dei simboli usati:
Parametro
Descrizione
VT H
tensione di soglia
VGS
tensione gate-source
VDS
tensione drain-source
IDS
corrente drain-source
Si ricorda che in zona lineare:
IDS = µn Cox
ωn
(VGS − VT H )VDS
Ln
e si può approssimare:
∼
di valore pari a:
Ron =
Ron
1
ωn
(V
µn Cox L
GS − VT H )
n
Supponendo di pilotare con una tensione Vφ il tasto dell’interruttore:
. se φ = 0
. se φ = 1
alto).
=⇒
=⇒
=⇒
Vφ ∼ 0 (stato basso);
=⇒
Vφ ∼ VAL (stato
Il circuito che illustra questo comportamento è il seguente:
Vφ
Vin
Vout
1.6. Filtri a capacità commutate
29
Pass Transistor
Vin
C
Vout
La condizione iniziale prevede il condensatore scarico; impostando Vφ allo
stato alto anche VGS andrà allo stato alto quindi il canale permette il passaggio di cariche dall’ingresso sul condensatore. Quando viene raggiunta la
condizione per cui Vout = VC = Vin il condensatore è completamente carico.
Durante la fase di passaggio la tensione VGS scende progressivamente come
VDS che si annulla quando Vout = Vin .
Il funzionamento descritto non è valido per ogni tensione di ingresso, ma
solo per quelle che garantiscono:
VGS > VT H
Trasmission Gate
Vin
C
Vout
Inserire un pmos è molto utile perchè se entrambi conducono il comportamento non è più assimilabile ad una sola resistenza Ron , ma al parallelo di
due resistenze di valore Ron .
Con i grafici si intuisce bene il vantaggio; con un solo transistore la conduzione non può avvenire a tutte le tensioni, ma:
30
CAPITOLO 1. Filtri
Ron
Vin
VDD − VT H
Con due transistori, uno n (in rosso) e uno p (in blu):
Ron
Vin
VTp
VTn
si ha conduzione per tutte le tensioni in quanto se un transistore non conduce
si è nella zona in cui conduce l’altro.
1.6.2
Comportamenti con capacità parassite
Introducendo capacità parassite che descrivono il comportamento reale dei
circuiti elencati in precedenza si osservano due tipi di errori:
. errore di piedistallo;
. errore di feedtrought.
Si procede ad un’analisi separata dei due errori.
1.6. Filtri a capacità commutate
31
Errore di piedistallo
CGo
CDB
Vin
CL
Vout
La tensione su CL , quando il condensatore è completamente carico, non sarà
più come prima Vin : lo scostamento è l’errore di piedistallo.
Per tensioni di ingresso allo stato alto (t < 0) ad interruttore chiuso:
QT OT = (CL + CDB )Vout + CGo · (Vout − VDD )
Per tensioni di ingresso allo stato basso (t > 0) ad interruttore aperto:
′
QT OT = (CL + CDB + CGo )Vout
La quantità di carica nei due casi si deve conservare quindi:
′
Vout =
CGo
CL + CDB − CGo
· Vout −
· VDD
CL + CDB + CGo
CL + CDB + CGo
La quantità di variazione dell’uscita rispetto al caso ideale è:
−
CGo
· VDD
CL + CDB + CGo
Per il trasmission gate l’errore di piedistallo è:
∆Vout =
p
n
VDD · CG0
VDD · CG0
−
p
p
n +C
n +C
CG0
CG0
G0 + CL
G0 + CL
Errore di feedtrought
CGo
Vin
CDB
CDS
CL
Vout
32
CAPITOLO 1. Filtri
Data una differenza di potenziale in ingresso Vin si avrà:
∆Vout =
CDS
∆Vin
CDS + CL
L’interruttore non si comporta come un resistore ma come un condensatore
di capacità CDS .
1.6.3
Realizzazione di resistori
In forma integrata le fonti di imprecisione dei circuiti sono le resistenze mentre amplificatori e condensatori no (per i condensatori le capacità devono
essere inferiori a 100 pF ).
Utilizzando dei condensatori e degli interruttori è possibile simulare il comportamento delle resistenze e poichè gli interruttori sono transistori non sono
fonte di imprecisione.
1
2
+
V1
+
V2
C
−
−
Chiudendo il tasto sulla posizione 1 il condensatore verrà caricato alla tensione V1 e avrà una carica pari a:
Q 1 = C · V1
Commutando il tasto sulla posizione 2 il condensatore si caricherà alla
tensione V2 con una carica:
Q 2 = C · V2
La differenza:
∆Q = Q2 − Q1
(1.1)
rappresenta la quantità di carica trasferita da 1 a 2.
Il passaggio avviene ogni volta che si commuta l’interruttore; definendo un
periodo di clock tck e una frequenza di clock fck in modo tale per cui:
fck =
1
tck
1.6. Filtri a capacità commutate
33
si può considerare la quantità di carica trasferita in un solo passaggio normalizzando l’espressione (1.1) con tck :
∆Q
Q2 − Q1
=
= ieq
tck
tck
Infatti dimensionalmente:
F
= A
s
Si può esprimere la differenza di potenziale:
(V2 − V1 ) = ieq ·
1
C · fck
quindi il termine:
1
= Req
C · fck
ha le dimensioni di una resistenza.
Il circuito si comporta quindi come:
Req
+
+
V1
V2
−
−
Dove la resistenza Req è una resistenza regolabile con la frequenza del segnale che pilota la commutazione degli interruttori.
Sostituendo all’interruttore il circuito equivalente con il pass transistor si
ha:
+
V1
−
+
C
V2
−
34
CAPITOLO 1. Filtri
Esiste una precisa configurazione di apertura e chiusura per gli interruttori:
se il primo interruttore è aperto il secondo deve essere chiuso e quando il
primo è chiuso il secondo è aperto.
La frequenza di clock non può essere troppo grande o troppo piccola, ma
deve poter garantire al condensatore il tempo necessario per caricarsi.
Se la tensione del generatore è variabile è necessario che fra una commutazione e l’altra dell’interruttore cambi molto lentamente in modo tale da
essere approssimata a costante; se ciò non accade non è possibile esplicitare
la differenza di potenziale espressa in precedenza.
Questa condizione richiede:
fgen ≪ fck
1.6.4
Integratore a capacità commutate
Analisi teorica
C
R
−
+
Vin
Vout
Sostituendo alla resistenza R il circuito pass transistor:
C
−
Vin
+
C1
La resistenza R diventa quindi:
R=
1
C1 · fck
Vout
1.6. Filtri a capacità commutate
35
La funzione di trasferimento è:
1
1
C1
ω0
Vout
=−
= − fck ·
=−
H(s) =
Vin
sCR
s
C
s
dove:
C1
C
Il termine ω0 rappresenta la costante di integrazione e:
ω0 = fck ·
. dipende da un rapporto di capacità e non dai singoli valori dei condensatori, ma un rapporto si può realizzare in modo molto preciso
controllando le armature dei condensatori;
. può essere programmata con la frequenza di clock fck .
I valori di capacità dei componenti possono avere una deriva nel corso degli
anni, ma considerando il rapporto si riduce questo problema.
Esempio numerico
Realizzare un integratore a capacità commutate con:
ω0
= 1 kHz
f0 =
2π
◮ Se venisse progettato scegliendo come resistenza:
R = 100 kΩ
occorrerebbe avere una capacità di 1.59 nF, infatti:
f0 =
1
= 1 kHz
2πRC
=⇒
C=
1
= 1.59 nF
2π(100 · 103 ) · (1 · 103 )
Questo valore di capacità è di gran lunga superiore alla soglia indicata
in precedenza a pagina 32 (100 pF), quindi questo progetto non può
essere realizzato per un circuito integrato.
◮ Utilizzando la tecnica delle capacità commutate:
. ipotizzando di avere la frequenza interna del generatore di 10 kHz,
poichè:
fck ≫ fgen
=⇒
fck = 10 · fgen
=⇒
fck = 100 kHz
. dalla teoria si conosce che:
C1
1
· fck ·
2π
C
quindi si può ricavare il rapporto delle due capacità:
f0 =
f0
1 kHz
C1
=
· 2π =
· 2π = 6.28 · 10−2 = 0.0628
C
fck
100 kHz
36
CAPITOLO 1. Filtri
. scegliendo come capacità C = 10 pF si ha C1 = 0.628 pF per
rispettare il vincolo.
◮ Considerazioni:
. i valori di capacità scelti sono inferiori alla soglia quindi accettabili;
. la frequenza di clock massima: fckmax ≫ fck ; se si considera come
≫ un rapporto di 10 allora:
fckmax ≫ fck
=⇒
fckmax ≫ 100 kHz
=⇒
fckmax = 1 MHz
la frequenza massima deve tenere conto del tempo in cui l’amplificatore riesce ad assestare la tensione di integrazione;
. la frequenza di clock minima deve considerare la possibilità che
i condensatori vengano scaricati dalle correnti di perdita e di
polarizzazione quindi deve essere di almeno 100 Hz.
Effetto delle capacità parassite
Introducendo le capacità parassite (colorate in rosso nel circuito) si vuole
studiare il comportamento del circuito:
C
Cp5
Cp6
−
Vin
+
Cp1
Cp2
C1
Cp3
Vout
Cp4
. la capacità Cp1 è in parallelo al generatore di ingresso quindi non
interviene;
. le capacità Cp2 , C1 e Cp3 sono in parallelo;
. la capacità Cp4 è a massa virtuale come la capacità Cp5 ;
. la capacità Cp6 non influenza la tensione di uscita Vout .
In questo caso si ha:
f0 =
1 Cp2 + C1 + Cp3
·
· fck
2π
C
1.6. Filtri a capacità commutate
37
L’errore rispetto al circuito privo di capacità parassite è dato proprio dai
contributi Cp2 e Cp3 .
Se tale errore non può essere accettato è necessario cambiare configurazione
scegliendo quella che minimizza il numero di capacità parassite inserite.
Questa configurazione è:
C
2
1
Vin
2
1
C1
−
+
Vout
In questo caso gli interruttori vanno pilotati contemporaneamente sullo stato alto oppure sullo stato basso.
Sostituendo agli interruttori, evidenziati in azzurro nel circuito seguente:
C
2
1
Vin
2
C1
1
−
+
con i transistori, evidenziati in arancione, si ottiene:
Vout
38
CAPITOLO 1. Filtri
C
−
C1
Vin
+
Vout
Per questa tipologia di circuito le capacità parassite, di cui non si mostra il
circuito, non intervengono.
La tensione di uscita all’istante temporale n è data da:
C1
∆Q
= V0 [(n + 1) tck ] +
· Vi [(n + 1) tck ]
V0 (n tck ) = V0 [(n + 1) tck ] +
C
C
dove:
. V0 [(n + 1) tck ] rappresenta la tensione misurata all’istante temporale
precedente;
.
∆Q
C
.
C1
C
rappresenta la quantità di carica trasferita da C1 a C;
rappresenta la costante di integrazione;
. Vi [(n + 1) tck ] rappresenta la tensione di ingresso al passo precedente.
Nel dominio delle trasformate z:
V0 C1 Vi
+
·
z
C z
quindi la funzione di trasferimento può essere espressa come:
V0 =
H(z) =
con z = e j2πf /fck :
H(f ) =
dove:
.
1
jf /f0
C1
z −1
·
C 1 − z −1


πf /fck
1
· e −j2πf /fck 
·
jf /f0
sin fπf
ck
è la funzione dell’integratore normale;
. il termine fra parentesi quadre rappresenta il termine di correzione e
tende a 1 per f ≪ fck .
Quindi il circuito realizzato ha un comportamento simile all’integratore normale solo per f ≪ fck ; la rotazione introdotta è lineare anzichè −90◦ .
Capitolo 2
Applicazioni di transistori
bipolari
Lo schema di un rivitore FM prevede:
IF + FBP
RF AMP
∼ f0
Il segnale ricevuto dall’antenna viene filtrato da un amplificatore a radiofrequenza (frequenze di (88÷108 MHz) e larghezza di banda 225 kHz per segnali
FM); la particolarità di questo filtro è che deve essere accordato sulla frequenza del segnale ricevuto.
Il segnale filtrato viene inviato ad un moltiplicatore (mixer) perchè ne faccia
il battimento con un segnale a frequenza f0 generata dall’oscillatore locale
(vco, voltage controlled oscillator); il risultato di questa operazione deve essere un segnale con una frequenza intermedia fissa (intermediary frequency)
pari a 10.7 MHz più bassa rispetto alle frequenze di ingresso.
L’oscillatore locale deve quindi modificare la sua frequenza in base a quella
del segnale di ingresso per garantire la IF fissa; successivamente il segnale
viene filtrato con un filtro passa banda.
I componenti dello schema a blocchi visto sono:
39
40
CAPITOLO 2. Applicazioni di transistori bipolari
. amplificatore a radiofrequenza accordabile;
. moltiplicatore o mixer;
. oscillatore locale.
La realizzazione circuitale avviene utilizzando transistori bipolari. Nelle
sezioni seguenti verranno analizzati gli schemi circuitali e le proprietà per
ognuno.
2.1
Amplificatori accordabili a banda stretta
2.1.1
Richiami sul modello di Ebers-Moll
αR IR
αF IF
IE
IC
IF
IF = IE0 · e
VBE
VT
IB
−1
IC = αF IF − IR
IR
IR = IC0 · e
VBC
VT
−1
IE = αR IR − IF
In regione attiva diretta:
VBC < 0
VT = 26 mV
IC = αF · IE0 · e
Poichè αF ∼ 1
=⇒
VBE
VT
IE ≈ IC .
VBE = 0.6 V
IE = −IE0
V BE
· e VT
2.1. Amplificatori accordabili a banda stretta
2.1.2
41
Modelli di piccolo segnale
Modello ibrido π
rµ
B
C
rπ
r0
gm VBE
E
rπ =
β0 · VT
IC
rµ ≈ 0
gm =
IC
VT
r0 =
VA
IC
Modello a parametri h
B
C
hf e IB
hie
hoe
E
hie =
VT
IB
hf e = β0
r0 =
IC
VA
Il termine β0 rappresenta il guadagno di corrente.
2.1.3
Polarizzazione
Inizialmente si studia la polarizzazione; lo schema circuitale da considerare
è il seguente:
42
CAPITOLO 2. Applicazioni di transistori bipolari
VAL
RC
R2
IC
I2
Ib
VCE
I1
VBE
R1
IE
RE
Le specifiche di progetto sono:
VAL = +15 V
VCEq ‡ = +5 V
ICq ‡ = 750 µA
100 < β < 200
Le prime equazioni che si possono scrivere riguardano le correnti:
Ib = IE /β
IC = VAL /RC
La maglia di ingresso può essere rappresentata con il modello equivalente di
Thevenin:
RB
+
VBB
−
dove:
. VBB =
R1
· VAL
R1 + R2
. RB = R1 //R2
Sostituendo nel circuito seguente la parte tratteggiata in verde con il modello di Thevenin visto sopra:
‡
tensione VCE nel punto di equilibrio
‡
corrente IC nel punto di equilibrio
2.1. Amplificatori accordabili a banda stretta
43
VAL
RC
R2
IC
I2
Ib
VCE
I1
VBE
IE
R1
RE
Si ottiene la configurazione:
VAL
RC
IC
RB
VCE
Ib
+
VBE
VBB
IE
RE
−
Da questa configurazione si può osservare che:
IE =
VBB − RB · Ib − VBE
RE
Poichè IE = Icq = 750 µA costante il punto di lavoro deve essere stabile,
anche se il fattore β nelle specifiche è molto impreciso e la tensione VBE è
molto sensibile alle variazioni di temperatura. L’unico parametro stabile è
VBB perchè dipende dalla tensione di alimentazione e dai valori di resistenza,
quindi per ottenere un punto di lavoro stabile è necessario che:
IE ≈
VBB
RB
44
CAPITOLO 2. Applicazioni di transistori bipolari
ossia occorre rendere trascurabili le tensioni RB · Ib e VBE rispetto a VBB :
VBB ≫ VBE
=⇒
Ib piccola
=⇒
VBB ≫ 0.6 V
Ib = I2 − I1
=⇒
=⇒
VBB ≥ 6 V
I2 ≫ Ib
Poichè:
Ib = IE /β
sostituendo i valori massimi e minimi di β:
750 µA
750 µA
< Ib <
100
200
quindi:
Ibmax = 7.5 µA
La condizione necessaria è:
I2 ≫ Ib
=⇒
I2 = 10 · Ibmax
=⇒
I2 = 75 µA
La corrente I1 invece vale:
I1 = 9Ib
=⇒
L’espressione per I2 è:
I2 =
I1 = 67.5 µA
VAL − R1 · I1
R2
Sostituendo i valori numerici si ottiene la prima equazione per poter dimensionare le resistenze R1 ed R2 :
75 µA =
15 V − R1 · 67.5 µA
R2
Elaborando l’equazione:
VBB =
R1
· VAL
R1 + R2
si ottiene la seconda equazione da mettere a sistema con la precedente:
6V =
R1
· 15
R1 + R2
=⇒
R1
2
=
R1 + R2
5
Il sistema è quindi formato da:

15 V − R1 · 67.5 µA

75 µA =
R2
R
3

 2 =
R1
2
=⇒
R2
3
=
R1
2
2.1. Amplificatori accordabili a banda stretta
Ottenendo:
45
(
R1 = 83.3 kΩ
R2 = 124.9 kΩ
A questo punto è necessario verificare se i risultati sono corretti:
RB · Ib = R1 //R2 · Ib = 50 kΩ · 7.5 µA = 0.37 V
VBE è impostata a 0.6 V
I due contributi sommati sono circa 1 V perciò sono accettabili.
É importante precisare che la corrente Ib non deve essere troppo bassa altrimenti il transistore non viene polarizzato.
Ora è possibile dimensionare RE ed RC ; poichè:
IE =
VBB − RB · Ib − VBE
= 750 µA
RE
si ha:
RE =
6 − 0.37 V − 0.6 V
VBB − RB · Ib − VBE
= 6.7 kΩ
=
IE
750 µA
Per determinare il valore di RC si scrive l’equazione alla maglia evidenziata
in viola nel circuito seguente:
VAL
RC
R2
I2
IC
Ib
VCE
I1
VBE
R1
IE
RE
VCE = VAL − RC · IC − RE · IE
dove IC = IE . Perciò:
RC =
−5 V − 6.7 kΩ · 750 µA + 15 V
−VCE − RE · IE + VAL
=
= 6.63 kΩ
IC
750 µA
46
CAPITOLO 2. Applicazioni di transistori bipolari
2.1.4
Amplificatore ad emettitore comune
In questa sezione si studia l’applicazione del circuito precedente per realizzare un amplificatore ad emettitore comune; lo schema circuitale da
analizzare è il seguente:
VAL
RC
R2
CB
+
I2
IC
Ib
VCE
I1
VBE
R1
Vin
CL
−
RL
RE
Vout
CE
IE
Il condensatore CB posto in ingresso del sistema permette la polarizzazione
del circuito indipendentemente dal segnale di ingresso; il condensatore CE ,
invece, forza la corrente IE ad essere costante, perchè, applicando il segnale,
il valore di capacità è dimensionato in modo tale che ZE , il parallelo fra
RE e CE , abbia un valore molto piccolo, approssimabile ad un cortocircuito
verso massa.
In questo modo, applicando la sovrapposizione degli effetti:
. il contributo dato dalla polarizzazione a VE è VEq ;
. il contributo dato applicando un segnale di ingresso è nullo.
Quindi, in ogni istante di tempo, sulla resistenza RE è applicata una tensione
costante pari a VEq .
Comportamento in zona lineare
Applicando tensioni basse al segnale di ingresso si può operare approssimando il comportamento del transistore con il modello di Ebers-Moll perchè si
lavora in zona lineare (evidenziato in arancione nel circuito):
2.1. Amplificatori accordabili a banda stretta
47
VAL
RC
R2
CB
I2
Ib
I1
+
CL
IC
hie
hf e Ib
RL
R1
Vin
RE
−
Vout
CE
IE
Il circuito equivalente, inserendo un’impedenza generica ZE come parallelo
di CE e RE :
RS
Ib
+
hie
hf e Ib
Vin
Vin
Rb
RL
Vout
RL
Vout
ZE
−
IE
Che si dimostra essere equivalente a:
RS
Ib
+
hie
hf e Ib
Vin
Vin
Rb
ZE
ZE
−
Se il valore della resistenza interna del generatore RS è trascurabile, tutta la
tensione applicata in ingresso cade su RB ; perciò si può esprimere la corrente
48
CAPITOLO 2. Applicazioni di transistori bipolari
Ib come:
Ib =
Vin
hie + ZE (1 + hf e )
La tensione di uscita sarà:
Vout = −RL · hf e Ib
E sostituendo a Ib l’espressione precedente:
Vout =
−RL · hf e Vin
hie + ZE (1 + hf e )
AV =
−RL · hf e
hie + ZE (1 + hf e )
Il guadagno è quindi:
In continua ZE = RE serve per la polarizzazione, ma appena si applica il
segnale il valore di ZE deve essere basso per non limitare l’amplificazione (il
termine è infatti a denominatore).
Trascurando ZE :
AV =
−RL · hf e
hie
si può concludere che l’amplificazione è poco precisa in quanto:
. hf e dipende dal transistore scelto;
VT
dove VT è la tensione termica pari a 26 mV a temperatura
IBq
ambiente, quindi il guadagno dipende dalla temperatura.
. hie =
Gli amplificatori realizzati con gli operazionali invece non hanno dipendenza da questi fattori: il guadagno dipende esclusivamente dalla rete di
retroazione introdotta.
Analisi per segnali di ingresso con ampiezze diverse
In questa sezione si prenderanno in considerazione due ipotesi:
. cosa succede all’amplificatore ad emettitore comune quando in ingresso
non è presente alcun segnale;
. cosa accade, invece, se in ingresso è presente un segnale sinusoidale ad
ampiezza variabile.
2.1. Amplificatori accordabili a banda stretta
49
Nel primo caso:
IE = IS e
“
VE
VT
”
VBE = VT log
VEDC = VBEDC = VT log
IE
IS
IE
IS
Nel secondo caso invece non si può più approssimare il comportamento del
transistore con il modello di Ebers-Moll:
IE (t) = IS e
“
VE
VT
”
= IC
VBE = Vin + VE
dove:
. Vin dipende dal tempo;
. VE no, in quanto si inserita ZE opportunamente per forzare una corrente costante su RE .
Quindi:
VBE (t) = Vin (t) + VE
Sostituendo, la corrente sul collettore risulta essere:
IC (t) = IS e
“
VE
VT
”
·e
“
Vin (t)
VT
”
Se in ingresso è presente un segnale:
Vin (t) = Vinp · cos(ωi t)
con Vinp ampiezza di picco qualsiasi, si introduce il parametro:
x=
Vinp
VT
che misura quanto l’ampiezza del segnale di ingresso è grande o piccola
rispetto alla tensione termica. Il termine:
Vinp
Vin (t)
=
· cos(ωi t) = x · cos(ωi t)
VT
VT
Perciò:
IC (t) = IS e
“
VE
VT
”
· e x·cos(ωi t)
Lo sviluppo in serie di Fourier di e x·cos(ωi t) :
e
x·cos(ωi t)
= I0 (x) + 2
+∞
X
n=1
In (x) cos(n ωi t)
50
CAPITOLO 2. Applicazioni di transistori bipolari
dove In (x) sono le funzioni di Bessel modificate di prima specie e ordine n.
Sostituendo lo sviluppo:
#)
"
” (
“
+∞
VE
X
I
(x)
n
cos(n ωi t)
IC (t) = IS e VT · I0 (x) · 1 + 2
I0 (x)
n=1
La tensione di uscita è:
Vout = VAL − RC · IC (t)
quindi:
Vout = VAL − RC · IS e
“
VE
VT
”
·
(
"
I0 (x) · 1 + 2
+∞
X
In (x)
n=1
I0 (x)
#)
cos(n ωi t)
dove:
“
VE
VT
”
· I0 (x) è il termine fissato dal generatore costante, la parte in
. IS e
continua;
“
” VE
P
In (x)
. IS e VT · I0 (x) · 2 +∞
cos(n
ω
t)
è il termine che esprime
i
n=1
I0 (x)
la distrosione data dalle armoniche di ordine superiore.
La componente in continua della corrente di collettore è, come scritto in
precedenza:
”
“
ICDC (t) = IS e
VE
VT
· I0 (x)
quindi si può ricavare:
VBEDC = VT log
IE
− VT log I0 (x)
IS
Il primo dei due contributi è esattamente identico a quello ricavato quando
in ingresso non è presente alcun segnale mentre il secondo rappresenta un
termine correttivo.
Funzioni di Bessel
Le funzioni di Bessel, al variare del parametro x, seguono il comportamento
descritto in figura (2.1).
Considerando i contributi normalizzati rispetto a I0 (x) il comportamento è
quello mostrato in figura (2.2).
Ad esempio, la funzione di Bessel di ordine 1 indica di quanto viene amplificata la componente della prima armonica del segnale di uscita.
2.1. Amplificatori accordabili a banda stretta
51
Figura 2.1: grafico funzioni di Bessel modificate di prima specie
Se il rapporto Vinp /VT è piccolo allora le funzioni di Bessel tendono a zero:
significa che l’uscita non è distorta dalle armoniche di ordine superiore.
Quando, invece, il rapporto Vinp /VT diventa significativo tali contributi
influenzano in modo notevole l’uscita.
Voutp n=1
RC · IC 2 · I1 (x)
=
·
Vinp
Vinp
I0 (x)
dove:
. I1 (x) rappresenta l’ampiezza della prima armonica superiore;
. I0 (x) è l’ampiezza del segnale di ingresso.
Poichè:
x=
Vinp
VT
Rielaborando si ottiene:
Voutp n=1
RC · IC 2 · I1 (x)
RC · IC 2 · I1 (x)
=
·
=
·
Vinp
x · VT
I0 (x)
VT
x · I0 (x)
Il rapporto:
IC
= gm transcoduttanza di piccolo segnale.
VT
52
CAPITOLO 2. Applicazioni di transistori bipolari
Figura 2.2: grafico funzioni di Bessel modificate di prima specie normalizzate
Quindi:
Voutp n=1
2 · I1 (x)
= (RC · gm) ·
Vinp
x · I0 (x)
Il primo termine, (RC · gm), caratterizza il contributo di piccolo segnale,
mentre il secondo è un termine correttivo perchè le ipotesi di piccolo segnale
non sono verificate.
L’espressione:
2 · I1 (x)
= Gm(x)
gm ·
x · I0 (x)
prende il nome di transconduttanza di ampio segnale.
Modello
Guadagno
Piccolo segnale
RC · gm
Ampio segnale
RC · Gm(x)
Si osservi che:
x −→ 0
=⇒
Gm(x) −→ 1
quindi si ritorna alle condizioni di piccolo segnale.
Graficamente:
2.1. Amplificatori accordabili a banda stretta
53
Gm(x)
gm
1
0.1
x
10
Si osservi su un grafico come vengono distribuite le ampiezze delle componenti:
V
n=1
n=2
n=3
ωn
Per selezionarle singolarmente è necessario filtrarle con un filtro passa banda
e si realizza inserendo nel circuito solito la parte in azzurro:
VAL
IC
LC
R2
CB
+
Vin
−
I2
CC
RC
Ib
VCE
CL
I1
VBE
R1
RL
RE
IE
CE
Vout
54
CAPITOLO 2. Applicazioni di transistori bipolari
In questo modo se la capacità è variabile è possibile spostare la banda del
filtro e selezionare l’armonica desiderata.
Indicando con ZRLC il parallelo di CC , RC e LC :
ZRLC =
con:
s
1
· 2
1
C s + s · RC
+
1
ω0 = √
LC
1
LC
Q=R·
Si indica:
r
C
L
|ZRLC (ω0 )|
1 = Q · n − |ZRLC (n ω0 )|
n
un parametro che, a seconda dell’armonica scelta, mostra quanto è larga la
banda del filtro e come si attenua.
Ipotizzando di selezionare la seconda armonica (n = 2):
1
|ZRLC (ω0 )|
= Q · |ZRLC (2 ω0 )|
2
Graficamente:
Vout
n=1
2.2
2.2.1
n=2
n=3
ωn
Oscillatori
Teoria degli oscillatori
Lo schema a blocchi per un oscillatore è:
Vin
⊕
A(s)
B(s)
Vout
2.2. Oscillatori
55
La funzione di trasferimento è:
Av(s) =
A(s)
1 − A(s)B(s)
e il guadagno ad anello è:
T (s) = A(s)B(s)
La pulsazione ωk per cui:
T (jωk ) = 1
è la pulsazione a cui il circuito oscilla perchè ogni disturbo viene amplificato.
Le condizioni di Barkhausen per identificare un oscillatore sono:
(
|T (jωk )| = 1
∠T (jωk ) = 0
L’ampiezza non può crescere a dismisura, ma deve essere limitata quindi è
necessario che:
A(s) sia non lineare
Questa condizione è verificata utilizzando un transistore bipolare come amplificatore:
C
R
l
C
i
B(s)
56
CAPITOLO 2. Applicazioni di transistori bipolari
2.2.2
Oscillatore di Colpitts
Nell’oscillatore di Colpitts si sostituisce il blocco B(s) con:
C2
C1
Verifica delle condizioni di Barkhausen
Inserendo un generatore di test:
C
R
l
Vf
Vout
Vφ
i
Si osserva che:
Vφ =
C2
C1
C1
· Vf
C1 + C2
e:
Vφ = −VBE
la tensione fra base e collettore del bjt.
Indicando con ZRLC il parallelo fra condensatore, resistenza ed induttore, si
ha:
C1
· Vf
Vout = −gm · ZRLC · VBE = gm · ZRLC ·
C1 + C2
2.3. Mixer
57
Il guadagno per piccolo segnale risulta essere:
Tps =
C1
Vout
= gm · ZRLC ·
Vf
C1 + C2
e il guadagno di ampio segnale è lo stesso, a patto di sostituire la transconduttanza gm con Gm(ω):
Tas =
C1
Vout
= Gm(x) · ZRLC (jω) ·
Vf
C1 + C2
Applicando le condizioni di Barkhausen a Tas :

C1 
Gm(x) · ZRLC (jω) ·
=1
C1 + C2 C1

∠Gm(x) · ZRLC (jω) ·
=0
C1 + C2
. La fase è pari a 0 se:
1
ZRLC (jω) =⇒ jω = 0 =⇒ ω0 = √
LC
in quanto gli altri due fattori sono numeri reali;
. per quanto riguarda il modulo, affinchè sia pari a 1, è necessario
determinare per quale valore del parametro x l’ampiezza diventa 1.
L’oscillatore è stabile se la fase non varia al variare del modulo; questa
condizione si realizza per Q elevati.
2.3
Mixer
Per realizzare un prodotto fra due segnali sinusodali è necessario usare un
mixer o moltiplicatore:
Vx (t)
⊗
Vout (t)
Vy (t)
Esprimendo gli ingressi come:
. Vx (t) = Vxp · cos(ω1 t);
. Vy (t) = Vy p · cos(ω2 t);
Si ha:
Vout (t) = κm · Vxp · Vy p · cos(ω1 t) · cos(ω2 t)
dove κm rappresenta una costante moltiplicativa del mixer.
Graficamente sull’asse ω si avranno due componenti:
58
CAPITOLO 2. Applicazioni di transistori bipolari
V
(ω1 − ω2 ) (ω1 + ω2 )
ω
A causa delle non linearità possono essere presenti anche altre componenti
non desiderate; la prestazione di un moltiplicatore si definisce con la banda a
(−3 dB) per segnale debole: l’ampiezza del segnale di ingresso, infatti, deve
essere piccola (a volte è confrontabile con la tensione termica pari a 26 mV)
altrimenti le componenti spurie causerebbero troppi fastidi.
A seconda di quali tensioni di ingresso accettano si definiscono:
. mixer a 1 quadrante, se sia Vx (t) che Vy (t) sono positive;
. mixer a 2 quadrante, se una tra Vx (t) e Vy (t) è negativa e l’altra
positiva;
. mixer a 4 quadrante, se sia Vx (t) che Vy (t) possono essere positive o
negative.
2.3.1
Mixer a Transconduttanza
VCC
RC
Vout
IE
Vx
Vy
RE
2.3. Mixer
59
Il contributo della tensione Vx all’uscita è:
Vout |Vx = VCC − RC · gm · Vx
dove gm =
icq
. Poichè icq è fissata dalla corrente IE :
VT
gm =
IE ‡
VT
L’espressione della corrente IE è:
IE =
Vy − VBE
RE
Quindi:
gm =
Vy − VBE 1
·
RE
VT
La tensione di uscita perciò è:
Vout = VCC −
RC Vx
·
· (Vy − VBE )
RE VT
La costante κm vale:
κm =
RC 1
·
RE V T
Nota La tensione di uscita è proporzionale alle due tensioni di ingresso,
ma è presente un errore dato dal prodotto di (VBE · Vx ).
Questo tipo di mixer lavora bene in zona lineare, ossia:
Vx , Vy < VT
La dinamica delle tensioni di uscita è molto piccola; questo mixer è un mixer
ad 1 quadrante.
2.3.2
Mixer a Stadio differenziale
Per ovviare al problema fondamentale dei mixer a transconduttanza si introducono i mixer a stadio differenziale; a differenza della tipologia introdotta
in precedenza, questo tipo di moltiplicatori è a 2 quadranti.
Lo schema circuitale è il seguente:
‡
VT è la tensione termica
60
CAPITOLO 2. Applicazioni di transistori bipolari
VCC
RC
RC
Vout
T1
Vx
T2
IE1
IE2
IE
Mediante le seguenti equazioni è possibile analizzare il circuito:

VBE
1

VT

I
=
I
·
e

E
S
1


VBE

2
IE2 = IS · e VT



VBE2 − VBE1 = Vx



IE = IE1 + IE2
Il rapporto fra le correnti IE1 e IE2 :
VBE
1
VT
VBE −VBE
Vx
1
2
IE1
IS · e
VT
=
e
=
= e VT
VBE
2
IE2
IS · e VT
Il segnale di ingresso, quindi, determina lo sfasamento: se Vx = 0 il circuito
è perfettamente simmetrico e la corrente si divide in modo eguale nei due
rami; in caso contrario, a seconda del segno di Vx , un ramo è privilegiato
rispetto all’altro.
Si può scrivere un’equazione per ricavare la corrente IE1 in funzione di IE2 :
Vx
IE1 = IE2 · e VT
Sostituendo il termine trovato nell’equazione che lega le due correnti con IE
si ha:
Vx
IE
IE = IE2 · e VT + IE2 =⇒ IE2 =
Vx
1 + e VT
2.3. Mixer
61
Mentre:
Vx
IE1 =
IE · e VT
Vx
1 + e VT
Graficamente si ottiene:
IE
IE2
IE1
IE /2
Vx
Nota Si osservi che per Vx = 0 si ha IE /2, il comportamento descritto in
precedenza.
Il moltiplicatore può lavorare solo in zona lineare; sul grafico:
IE
IE2
IE1
IE /2
−4VT
4VT
Vx
In zona lineare si può sviluppare con Taylor (sviluppo al primo ordine) la
corrente IE1 :
IE
IE
∂IE2 IE
IE1 =
· Vx =
+
+
· Vx
2
∂Vx Vx =0
2
4VT
Poichè:
gm =
Si ha:
IE1 =
IE
VT
IE
gm
+
· Vx
2
4
62
CAPITOLO 2. Applicazioni di transistori bipolari
Mentre per la corrente IE2 :
IE2 =
gm
IE
−
· Vx
2
4
Si è realizzato un mixer a 2 quadranti perchè, è evidente sul grafico, la
tensione di ingresso Vx può assumere sia valori positivi che negativi. Il
moltiplicatore a 4 quadranti, di cui non si fa alcun tipo di analisi, prende il
nome di cella di Gilbert.
L’espressione della tensione di uscita è:
Vout
=
(RC · IE2 ) − (RC · IE1 ) = RC ·
=
RC ·
gm
· Vx
2
gm
IE
−
· Vx
2
4
−
IE
gm
+
· Vx
2
4
Sostituendo al blocco:
VCC
RC
RC
Vout
T1
Vx
T2
IE1
IE
Con il blocco:
IE2
2.3. Mixer
63
Vy
RE
Si ottiene la configurazione:
VCC
RC
RC
Vout
T1
Vx
T2
IE1
IE2
Vy
RE
IE
In questo caso la corrente IE vale:
IE =
Vy − VBE
RE
gm =
Vy − VBE
VT · RE
Perciò il termine:
Quindi:
RC (Vy − VBE )
·
· Vx
2RE
VT
Il termine dovuto all’errore è dato dal prodotto (VBE · Vx ).
Vout = −
64
CAPITOLO 2. Applicazioni di transistori bipolari
Capitolo 3
PLL
3.1
Introduzione
Si consideri un sistema di trasmissione modulato AM; al lato trasmettitore
lo schema a blocchi è:
f0
∼
Vx (t)
Vm (t)
Con:
Vx (t) = VoT X cos(ωoT X ) · Vm (t)
A lato ricevitore:
DEMOD
La demodulazione può avvenire in due modi:
. demodulazione non coerente, realizzata con rilevatori di picco (si vedano
gli appunti di misure elettroniche scaricabili dal mio sito internet alla
voce works, il riferimento al sito è nella prefazione);
. demodulazione coerente o syncronous detection.
65
66
CAPITOLO 3. PLL
La demodulazione coerente avviene secondo il seguente schema:
κa
VRX (t)
Va (t)
LP
π/2
∼
ωoRX
L’espressione della tensione in uscita dal mixer è:
Va (t) = κa · VRX (t) · VoRX · sin(ωoRX + θE )
Poichè il segnale trasmesso deve essere uguale a quello ricevuto:
VRX (t) = Vx (t)
Si ha:
Va (t) = κa · [VoT X cos(ωoT X ) · Vm (t)] VoRX · sin(ωoRX + θE )
= κa · VoT X · VoRX · cos [(ωoT X − ωoRX ) + θE ] · Vm (t)
dove:
. ωoT X è la pulsazione dell’oscillatore locale del trasmettitore;
. ωoRX è la pulsazione dell’oscillatore locale del ricevitore.
Le due pulsazioni devono essere uguali per poter riportare il segnale in banda
base; infatti se:
ωoT X = ωoRX
il segnale Va (t) è proporzionale al segnale modulante Vm (t).
Per ottenere le due pulsazioni perfettamente uguali vengono usati dei PLL
(Phaze lock loop), anelli ad aggancio di fase: il loro comportamento è simile
ad un filtro passa banda molto stretto e accordabile.
3.2
3.2.1
Analisi
Schema a blocchi e analisi teorica
Il funzionamento del PLL si riconduce al seguente schema a blocchi:
3.2. Analisi
67
Vin
D.F.
Vd (t)
HLP (s)
Vc (t)
Vout
V CO
La tensione Vd (t) è generata dal demodulatore di fase in modo tale che la
sua parte continua sia proporzionale alla differenza di fase dei due segnali
di ingresso:
VdDC = κd · (θi − θo )
La tensione Vc (t) è la tensione pilota del V CO: Vout (t) oscilla ad una pulsazione che dipende proprio da Vc (t); se non è presente l’oscillatore locale
oscilla a ωoRX di riposo.
Quando Vc (t) 6= 0 allora la pulsazione cambia, diventando:
ω = ωoRX + ∆ω = κo · Vc
Il circuito è stabile se Vc (t) è una costante (VcDC ) perchè in questo caso
Vout e Vin hanno la stessa pulsazione: come conseguenza il demodulatore
di fase genererà solo una tensione Vd (t) continua VdDC quindi lo sfasamento
(θi − θo ) non sarà più una funzione del tempo, ma un numero costante. Solo
per queste condizioni:
ωo = ωi
3.2.2
Funzione di trasferimento
In ingresso si definisce:
Vin (t) = Vinp · cos [ωi (t) + θi (t)]
dove:
. Vinp rappresenta l’ampiezza;
. ωi (t) è la pulsazione, in generale dipende dal tempo;
. θi (t) è la fase, come la pulsazione, dipende dal tempo.
68
CAPITOLO 3. PLL
La pulsazione istantanea si caratterizza con:
∆ωi∗ =
dθi
dt
Si definisce la tensione di uscita come:
Vout (t) = Voutp · cos [ωo (t) + θo (t)]
dove:
. Voutp rappresenta l’ampiezza;
. ωo (t) è la pulsazione dipendente dal tempo;
. θo (t) è la fase dipendente dal tempo.
La pulsazione istantanea è caratterizza, come per l’ingresso, con:
∆ωo∗ =
dθo
dt
La funzione di trasferimento è:
H(s) =
θo (s)
θi (s)
Si introduce un errore di fase dato da:
θe (s) = θi (s) − θo (s)
La funzione di trasferimento per l’errore di fase:
HθE (s) =
θi (s) − θo (s)
θe (s)
=
θi (s)
θi (s)
Da cui si evince che:
HθE (s) = 1 − H(s)
Calcolo della funzione di trasferimento
∆ωo (s) = s · θo (s)
Poichè:
∆ωo (s) = κo · Vc (s)
La tensione Vc (s) si esprime come:
Vc (s) = Vd (s) · HLP (s)
Mentre:
Vd (s) = κd · [θi (s) − θo (s)]
3.2. Analisi
69
Pertanto:
Vc (s)
= κd · [θi (s) − θo (s)]
HLP (s)
Sostituendo a Vc (s) con la sua espressione equivalente:
∆ωo (s)
= κd · [θi (s) − θo (s)]
κo · HLP (s)
Quindi:
s · θo (s)
= κd · [θi (s) − θo (s)]
κo · HLP (s)
Da cui si ottiene:
θo (s) =
θo (s)
κd · κo · HLP (s)
κd · κo · HLP (s)
· [θi (s) − θo (s)] =⇒
=
s
θi (s)
s + κd · κo · HLP (s)
La funzione di trasferimento dell’errore di fase:
HθE (s) =
3.2.3
s
s + κd · κo · HLP (s)
Analisi sul tipo di HLP (s)
> Se HLP (s) = 1 è presente un cortocircuito: il PLL è del primo ordine.
H(s) =
κd · κo
s + κd · κo
Il diagramma di Bode è quello tipico per un filtro del primo ordine,
dove:
ω0 = κo · κd
> Se HLP (s) è un filtro del primo ordine il PLL sarà del secondo ordine:
H(s) =
κd · κo
κd · κo
1
=⇒ H(s) = 2
·
s + κd · κo sRC + 1
s RC + s + (κd · κo )
Il diagramma di Bode è identico a quello per un filtro del secondo
ordine, con:
r
κo · κd
ω0 =
RC
> Se HLP (s) è un filtro del secondo ordine il PLL sarà del terzo ordine.
> Si possono inserire dei filtri attivi, come un filtro integratore o un filtro
passa basso attivo.
70
CAPITOLO 3. PLL
3.2.4
Condizioni di aggancio del PLL
Per capire quando il PLL riesce ad agganciarsi è necessario osservare la
risposta a transitorio esaurito:
(
costante
=⇒
il PLL è agganciato
lim θE (t) =
t−→+∞
funzione del tempo
=⇒
il PLL non è agganciato
Con il teorema del valore finale calcolare il limite precedente è equivalente
al:
lim s · θE (s)
(3.1)
s−→0
Pertanto:
lim s ·
s−→0
Questo limite dipende:
s
· θi (s)
s + κd · κo · HLP (s)
. dal segnale di ingresso;
. dalla risposta in banda del filtro HLP (s):
⊲ H(jω = 0) = 1 per il filtro passa basso;
⊲ H(jω = 0) > 1 per il filtro passa basso attivo;
⊲ H(jω = 0) −→ +∞ per il filtro integratore.
Modulazione PSK
Se il segnale di ingresso è modulato di tipo PSK il cambiamento di fase
temporale viene rappresentato dalla funzione gradino:
θ
∆θi
t
La trasformata di Fourier di un gradino è:
θi (s) =
∆θi
s
Valutando la condizione 3.1 in questo caso:
lim s · θE (s) = lim s ·
s−→0
s−→0
∆θi
s
·
=0
s + κd · κo · HLP (s) s
Pertanto il PLL riesce sempre ad agganciarsi se il segnale di ingresso è
modulato PSK.
3.2. Analisi
71
Modulazione FSK
Se il segnale di ingresso è modulato di tipo FSK il cambiamento di frequenza
è modellato dalla funzione gradino:
ω
∆ωi
t
Trasformando con Fourier si ottiene:
ωi (s) =
∆ωi
s
La funzione θi è rappresentata graficamente da una rampa:
θ
t
Poichè:
ωi (t) =
dθi
dt
La trasformazione con Fourier risulta essere:
ωi (s) = s · θi (s)
Quindi:
θi (s) =
ω(s)
∆ωi 1
∆ωi
=
· = 2
s
s
s
s
Valutando la condizione 3.1 in questo caso:
lim s · θE (s) = lim s ·
s−→0
s−→0
∆ωi
∆ωi
s
· 2 =
s + κd · κo · HLP (s) s
κo · κd · HLP (0)
Se:
. HLP (0) = 1 allora θE =
∆ωi
;
κo · κd
. HLP (0) −→ +∞ allora θE −→ 0.
72
CAPITOLO 3. PLL
Segnale in presenza di effetto Doppler
In queste particolari condizioni la frequenza varia linearmente:
ωi (t)
ωi (t) = ωio + ∆ωi (t)
t
La trasformata di Fourier è:
θi (s) =
∆θi
s2
La funzione θi è rappresentata graficamente da una parabola:
θ
t
Siccome:
ωi (t) =
dθi
dt
La trasformazione con Fourier, allo stesso modo dei casi precedenti, è:
ωi (s) = s · θi (s)
Pertanto:
θi (s) =
ω(s)
∆ωi 1
∆ωi
= 2 · = 3
s
s
s
s
Valutando anche per questo caso la condizione 3.1:
lim s · θE (s) = lim s ·
s−→0
s−→0
∆ωi
∆ωi
s
·
=
s + κd · κo · HLP (s) s3
s · [s + κd · κo · HLP (0)]
Se:
. HLP (0) = costante allora θE −→ +∞;
. HLP (0) −→ +∞ allora θE = costante.
Il PLL si aggancia solo se viene usato come filtro un integratore che garantisce HLP (0) −→ +∞.
3.3. Realizzazioni circuitali dei componenti
3.3
73
Realizzazioni circuitali dei componenti
3.3.1
Demodulatori di fase
Analogici
Il demodulatore di fase analogico è un moltiplicatore a 4 quadranti (cella di
Gilbert)
Vin (t)
κm
⊗
Vd (t)
Vout (t)
Esprimendo gli ingressi come:
. Vin (t) = Vinp · sin(ωi t + θi );
. Vout (t) = Voutp · cos(ωo t + θo );
La tensione in uscita dal demodulatore di fase che è anche l’ingresso del
filtro passa basso, risulta avere la seguente espressione nel caso generale in
cui il PLL è sganciato:
Vd (t) = κm · Vin (t) · Vout (t) =
κm · Vinp · Voutp
=
· {sin[(ωi − ωo )(t) + (θi − θo ) +
2
+ sin[(ωi + ωo )(t) + (θi + θo )]}
La componente:
sin[(ωi + ωo )(t) + (θi + θo )
viene eliminata dal filtro passa basso.
Nel caso in cui il PLL sia agganciato:
κm · Vinp · Voutp
κm · Vinp · Voutp
· sin(θi − θo ) =
· sin(θe )
2
2
dove Vd (t) dipende solo dalla differenza di fase dei due segnali.
Vd (t) =
In zona lineare si può approssimare:
sin(θe ) ∼ θe
pertanto:
κm · Vinp · Voutp
· θe
2
La costante κd esprime il coefficiente di proporzionalità fra VdDC e θe , quindi
risulta essere:
κm · Vinp · Voutp
κd =
2
Vd (t) =
74
CAPITOLO 3. PLL
Caratteristica a farfalla
Ad anello aperto il PLL:
Vin
D.F.
Vd (t)
HLP (s)
◦ Vc (t)
Vout
V CO
◦
In condizioni iniziali il PLL è sganciato quindi il VCO pulsa alla pulsazione
di riposo; in ingresso del demodulatore di fase sono presenti:
. Vin (t) = Vinp · sin(ωi t + θi );
. Vout (t) = Voutp · cos(ωoRX t + θo );
L’uscita del demodulatore è quella già vista in condizioni di PLL unlocked:
Vd (t) = κd · sin[(ωi − ωoRX )(t) + (θe )]
Questo segnale viene filtrato dal filtro passa basso; quindi:
Vc (t) = κd · |HLP (jωi − jωoRX )| · sin[(ωi − ωoRX )(t) + (θe )]
dove |HLP (jωi − jωoRX )| rappresenta l’ampiezza data dal filtro.
Graficamente la risposta segue l’inviluppo:
Vc (t)
ωoRX
ω
Questo grafico prende il nome di caratteristica a farfalla ad anello aperto.
3.3. Realizzazioni circuitali dei componenti
75
Ad anello chiuso:
Vin
D.F.
Vd (t)
HLP (s)
Vc (t)
Vout
V CO
Nell’istante dopo in cui l’anello si chiude il V CO pulsa ancora a ωoRX ; se
ωi ≪ ωoRX l’uscita dal demodulatore di fase viene tagliata dal filtro quindi
Vc (t) = 0.
Con il passare del tempo ωi cresce e il filtro attenua sempre meno il segnale
che riceve in ingresso perciò la tensione Vc (t) cambia facendo sı̀ che anche
la pulsazione ωoRX si modifichi. La ripetizione di queste operazioni genera
un fenomeno per cui la pulsazione del V CO è variabile sinuoidalmente: la
conseguenza è che il valor medio di Vc (t) non è nullo come nelle condizioni
inziali, ma diminuisce.
Sul grafico si evidenziano i primi due passi delle operazioni descritte:
Vc (t)
caratt. anello aperto
valor medio primo step
ωoRX
ω
Il valor medio deve diminuire finchè per una certa ωi di ingresso l’uscita del
V CO è uguale a quella di ingresso:
76
CAPITOLO 3. PLL
Vc (t)
ωi
ω
ωoRX
Vc m
La pulsazione ωi è tale per cui:
ωi = ωoRX + (κo · Vcm )
Dall’istante in cui il PLL si aggancia la retroazione permette di mantenere
costante la tensione che controlla il V CO:
ωo − ωi
Vcm = RX
κo
Continuando ad aumentare la pulsazione di ingresso si verifica un istante per
cui, con ωi ≫ ωoRX il PLL si sgancerà perchè il valor medio sarà aumentato
troppo:
Vc (t)
Vc m
ωoRX
ωi
ω
La caratteristica complessiva è:
Vc (t)
ω
campo di cattura
campo di mantenimento
3.3. Realizzazioni circuitali dei componenti
77
Nel campo di cattura il PLL è sicuramente agganciato: l’ampiezza del campo dipende sia dalla caratteristica del filtro che dalla caratteristica del V CO.
Nel campo di mantenimento il PLL è agganciato se prima lo era; la sua
ampiezza dipende solo dai parametri in continua.
Digitali
Porta XOR
Per segnali digitali la fase si può demodulare mediante la porta:
Si riporta per completezza la tabella di verità della porta XOR:
A
B
XOR(A,B)
0
0
0
0
1
1
1
0
1
1
1
0
Ipotizzando di avere sia per il segnale di ingresso che per il segnale di uscita
un duty cycle del 50 %, il risultato dell’operazione or esclusivo è:
Vin
T
t
Vout
t
XOR
τ
t
78
CAPITOLO 3. PLL
Lo sfasamento di τ permette di determinare θe :
θe =
τ
· 2π
T
(3.2)
Se T = 8 e τ = 1, come nel grafico precedente:
θe =
π
4
Definendo come:
. VOH lo stato alto della tensione;
. VOL lo stato basso della tensione.
Si può determinare il valore di VdDC :
VdDC = 2 ·
(VOH − VOL )
·τ
T
Sostituendo l’espressione di τ ottenuta invertendo l’equazione 3.2 si ha:
VdDC = 2 ·
(VOH − VOL ) θe · T
(VOH − VOL ) · θe
·
=
T
2π
π
Poichè κd è il coefficiente che esprime la relazione fra VdDC e θe per questo
caso vale:
(VOH − VOL )
κd =
π
Graficamente:
Vd
−π
0
π
2π
3π
θe
Questa caratteristica è valida solo se il duty cycle dei due segnali è uguale.
In caso contrario il grafico della caratteristica è il seguente:
Vd
−π
0
π
2π
3π
θe
3.3. Realizzazioni circuitali dei componenti
79
Flip flop SR
Con un flip flop SR:
Vin
S
Vout
R
Q
Vd (t)
e in ingresso segnali di tipo impulsivo:
Vin
θe
Vout
τ
θe
Vd (t)
θe
In questo caso:
VdDC =
(VOH − VOL ) · θe
(VOH − VOL ) · τ
=
T
2π
Quindi il coefficiente κd vale:
κd =
(VOH − VOL )
2π
Con un flip flop non si ha la limitazione nella dinamica dovuta a duty cycle
differenti perchè i flip flop, a differenza della porta xor, sentono i fronti di
salita o discesa.
Demodulatore PFD
Il demodulatore PFD, phaze frequency detection, è un demodulatore sensibile sia alle differenze di frequenza che di fase.
Circuitalmente viene realizzato nel seguente modo:
80
CAPITOLO 3. PLL
VDD
D
Vin
Q
A
Q
B
R
VDD
D
Vin
R
Dati come segnali:
Vin
θe
Vout
τ
θe
A
θe
B
θe
Il segnale A è proporzionale allo sfasamento mentre B è proporzionale alla
frequenza ed èun impulso che dura solo l’istante necessario affinchè la porta
and progaghi il comando di reset.
I segnali A e B pilotano:
3.3. Realizzazioni circuitali dei componenti
81
VAL
A
C
Vc
B
Quando A è allo stato alto lo switch è chiuso quindi il condensatore si carica;
quando su A è presente lo stato basso la tensione caricata sul condensatore
viene mantenuta costante:
Vc
t
A stato alto
A stato basso
L’unica condizione possibile che renda stabile il circuito è:
θe = 0
In questo modo tutti i segnali hanno la stessa frequenza e sfasamento, di
conseguenza le dimensioni del campo di cattura sono identiche a quelle del
campo di mantenimento.
3.3.2
VCO
Nell’analisi dei circuiti che implementano un V CO si considerano solo i casi
per cui l’uscita del V CO è un’onda quadra.
Le tecnologie possibili prese in esame sono:
. utilizzo di transistori bipolari;
. tipologica CMOS.
82
CAPITOLO 3. PLL
VCO con transistori bipolari
La sintesi di un’onda quadra avviene controllando le fasi di carica e scarica
di un condensatore con corrente costante.
La realizzazione circuitale è:
VAL
RE
IE
Vc
T4
Vb
C1
T2
Vc 1
T3
T1
R
Vout
La fase di carica e scarica del condensatore è governata secondo:
Vc 1
Vcmax
t
carica
scarica
carica
scarica
Attraverso un comparatore di soglia con isteresi è possibile cambiare la
caratteristica vista nel grafico precedente:
3.3. Realizzazioni circuitali dei componenti
83
Vc1
Vs2
Vs1
tVs2
t
tVs1
Il comparatore di soglia con isteresi ha infatti la seguente caratteristica:
Vs1
Analisi
si ha:
Vs2
Supponendo che in condizioni iniziali il condensatore sia scarico
Vc1 = 0
L’uscita del comparatore di fase sarà quindi un livello logico basso: attraverso R non scorrerà corrente pertanto il transistore T1 sarà interdetto.
Se T1 è interdetto non scorre corrente su T2 e T3 ; il condensatore può essere
caricato solo dalla corrente che scorre in T4 . Questo transistore (di tipo pnp
a differenza degli altri) è sempre in conduzione perchè la resistenza RE viene
dimensianata in modo opportuno; la corrente IE vale:
IE =
VAL − Vc − Vb
RE
(3.3)
Tale corrente polarizza il diodo e permette la carica del condensatore fino
alla tensione di soglia Vs2 . A questo punto l’uscita Vout passa allo stato alto
e il transistore T1 conduce; R è dimensionata in modo che T1 lavori in zona
di saturazione. Con questa condizione:
I2
I3
T2
T3
0.7 V
I1
0.2 V
T1
84
CAPITOLO 3. PLL
Il circuito viene chiamato specchio di corrente perchè:
I2 = I3
Poichè su T1 è presente una tensione di 0.2 V e su T2 di 0.7 V risulta che:
Vb = 0.2 + 0.7 = 0.9 V
Con Vb = 0.9 V il diodo viene interdetto e la corrente che scorre in T4 non può
caricare il condensatore, ma diventa I2 ; poichè I3 deve avere lo stesso valore
di I2 l’unico elemento che può fornire corrente è il condensatore: questa è
la fase di scarica. Infatti, non appena Vout commuta nello stato logico basso
viene ripristinata la condizione per cui T1 è interdetto e il condensatore si
carica nuovamente.
Graficamente:
Vout
tVs2
tVs1
tVs2
tVs1
t
Si osservi sul grafico seguente il periodo di carica-scarica e la pendenza della
retta:
Vc 1
T
Vs 2
IE /C
Vs 1
tVs2
tVs1
tVs2
Poichè in un semiperiodo la tensione passa da Vs1 a Vs2 :
T IE
·
= Vs 2 − Vs 1
2 C
Si può determinare:
2 · (Vs2 − Vs1 ) · C
IE
Sostituendo l’espressione di IE data dall’equazione 3.3 si ha:
T =
T =
2 · (Vs2 − Vs1 ) · C · RE
VAL − Vc − VBE
tVs1
t
3.3. Realizzazioni circuitali dei componenti
85
La frequenza con cui viene generata l’onda quadra in uscita è quindi:
f=
VAL − Vc − VBE
1
1
=
·
T
2 · (Vs2 − Vs1 ) C · RE
Il coefficiente κo che esprime quanto la frequenza di uscita varia rispetto
all’ingresso è funzione di RE e C, i parametri di progetto che caratterizzano
la pendenza della retta nell’onda triangolare.
VCO con tecnologia CMOS
In questa sezione si riporta solo lo schema circuitale:
VAL
Vc
I
I
I2
R2
C
I1
R1
FLIP FLOP
Il principio di carica e scarica del condensatore è mantenuto anche in questo
caso: sono gli interruttori, asincroni, che a seconda di quale è chiuso permettono la carica/scarica.
Si evidenzia che lo specchio di corrente in tecnologia mos è:
VAL
I
I parametri di progetto sono R1 , R2 e C.
I
86
CAPITOLO 3. PLL
3.4
Applicazioni dei PLL
Le applicazioni più comuni in cui i PLL trovano utilizzo sono:
. demodulatore AM coerente a singolo ramo (ampiezza dipendente dallo
sfasamento θe );
. demodulatore AM coerente a due rami (ampiezza sempre dipendente
dallo sfasamento θe );
. decodificatore di tono;
. demodulatore di frequenza FM:
data in ingresso fi (t) = fio +fm (t) la tensione Vc (t) è tale da mantenere
l’aggancio, quindi è proporzionale alla frequenza di ingresso. La sua
espressione è:
Vc (t) =
2π
2π
· (fio − for )‡ +
· fm (t)‡
κo
κo
. modulazione FSK/PSK;
. sintetizzatori di frequenza.
3.4.1
Sintetizzatori di frequenza
I sintetizzatori di frequenza permettono di generare, partendo da una frequenza di ingresso, un certo range di frequenze. Lo schema a blocchi
è:
fin
M
D.F.
Vd (t)
HLP (s)
N
Vc (t)
fout
‡
É una parte costante.
V CO
‡
É la parte che varia in base alla frequenza modulante
3.4. Applicazioni dei PLL
87
I blocchi M ed N sono dei divisori che permettono di ottenere, a PLL
agganciato, la seguente condizione:
fout
fin
=
M
N
Da qui si determina l’equazione che caratterizza la sintesi di frequenza:
fout =
N
· fin
M
Progetto
Si vuole progettare un sintetizzatore che generi:
fout = 1 MHz : 1 kHz : 2 MHz
con fin = 1 kHz.
Rispetto alla frequenza più bassa da generare 1 MHz, la frequenza di ingresso ha un rapporto di 1000. Il passo 1 kHz determina quante frequenze
possono essere generate fra 1 ÷ 2 MHz: sono ancora 1000.
Pertanto:
con κ = 0, 1, 2...1000.
Si desume quindi che:
fout = 1000 · fin + κ · fin
fout = fin · (1000 + κ)
. M = 1;
. N = (1000 + κ).
Lo schema a blocchi è:
fin
D.F.
Vd (t)
HLP (s)
N
Vc (t)
fout
V CO
88
CAPITOLO 3. PLL
Capitolo 4
Convertitori
4.1
Introduzione
Lo schema a blocchi di un ricevitore ad eterodina digitale può essere rappresentato mediante:
RF AM
⊗
A/D
sin
⊗
DLP
DSP
D/A
AU AM
SP
cos
Dig Loc Osc
Elenco in ordine da sinistra verso destra:
. antenna;
. amplificatore a radiofrequenza;
. convertitore analogico/digitale;
. blocco per determinare parte in fase e parte in quadratura, le sinusoidi
vengono generate con un oscillatore locale digitale;
. filtro passa basso digitale;
. blocco di digital signal processing;
. convertitore digitale/analogico;
. amplificatore audio;
. speaker.
Si può evidenziare, sullo schema a blocchi, una parte esclusivamente formata
da componenti che operano in digitale:
89
90
CAPITOLO 4. Convertitori
⊗
A/D
RF AM
sin
⊗
DLP
DSP
D/A
A AM
SP
cos
Dig Loc Osc
In questo capitolo si prenderà in esame esclusivamente la conversione del
segnale da analogico in digitale e viceversa.
Per convertire un segnale analogico in digitale:
A(t)
A/D Conv
seq. digitale
sono necessari due passi fondamentali:
. campionamento: ad istanti temporali prefissati si valuta l’ampiezza
del segnale analogico;
. quantizzazione: i campioni ottenuti possono avere qualisiasi valore di
ampiezza, mentre occorre che abbiano ampiezze discrete.
Sostanzialmente un segnale analogico presenta:
. ampiezza continua;
. durata temporale continua.
Un segnale digitale invece:
. ampiezza discreta;
. durata temporale discreta.
Graficamente:
A(t)
t
4.2. Campionamento
4.2
91
Campionamento
Generare una sequenza di campioni As (t) partendo da un segnale analogico
A(t) si realizza mediante:
As (t) = A(t) ·
+∞
X
n=−∞
δ (t − nTs )
dove Ts è il periodo di campionamento.
Nel dominio spettrale:
As (ω) = F{A(t)} ∗ F
(
+∞
X
n=−∞
)
δ (t − nTs )
+∞
X
2π n
δ ω−
= A(ω) ·
Ts
n=−∞
Graficamente:
As (ω)
−2π
Ts
−B
B
2π
Ts
ω
Si è ipotizzato A(ω) a banda limitata compresa fra [−B, B].
Data questa sequenza digitale per ricostruire A(t) è necessario filtrare la
sequenza con il filtro ricostruttore:
As (ω)
−2π
Ts
−B
B
Per evitare aliasing:
B<
2π 1
·
Ts 2
Poichè:
Ts =
1
fc
2π
Ts
ω
92
CAPITOLO 4. Convertitori
dove fc è la frequenza di campionamento, si determina:
2B
2π
Questa è la minima frequenza di campionamento nel caso ideale; in condizioni reali, invece, lo spettro di A(ω) non può avere banda limitata:
fc >
A(ω)
ω
E il filtro non è ideale:
A(ω)
ω
Se la frequenza di campionamento scelta è alta allora le repliche fornite dal
treno di delta sono molto distanziate: le condizioni per realizzare il filtro
sono molto elastiche.
Al contrario, quando la frequenza di campionamento non è alta le repliche
sono vicine perciò il filtro deve essere molto selettivo per non selezionare
anche parte delle repliche che non sono in banda base; la selettività del filtro
implica un’elevata complessità in quanto dovrà avere molti poli.
Il campionamento visto in precedenza in cui gli istanti temporali erano delle
delta di Dirac non è possibile da realizzare in quanto ogni campione non
può essere convertito in cifra istantaneamente. Tale operazione prevede di
manterere il campione alla stessa ampiezza per un certo tempo:
A(t)
t
4.3. Quantizzazione
93
L’elemento che realizza tutto ciò prende il nome di sample & hold.
Lo schema a blocchi per descrivere i passi enunciati è:
As (t)
A(t)
„
«
2π n
δ
ω
−
n=−∞
Ts
P+∞
h1 (t) = pTs
„
«
T
t−
2
Nel dominio spettrale:
"
+∞
X
2π n
δ ω−
As (ω) = A(ω) ∗
Ts
n=−∞
#
· H1 (ω)
In questo caso il filtro ricostruttore deve anche elminare il contributo dato
da H1 (ω) che contribuisce a sporcare la sequenza As (t).
4.3
Quantizzazione
Dopo il processo di campionamento i valori ottenuti sono discreti dal punto
di vista temporale, ma non in ampiezza:
S
D
=⇒
0
0
L’insieme D è composto dall’insieme delle cifre N codificate nel codice B,
solitamente è il codice usato è il codice binario.
Con questa ipotesi si partiziona D in 2N soglie; se N = 2:
11
S
10
=⇒
01
00
0
La dimensione dell’intervallo più piccolo è:
Ad =
S
2N
94
CAPITOLO 4. Convertitori
La regola di conversione specifica come avviene la trasformazione da intervallo continuo in cifra. Dopo questa operazione l’informazione sull’ampiezza
effettiva del campione viene persa: si commette quindi un errore.
Ad
As
Am
As è il valore campionato
Am è il valore medio dell’intervallo
L’errore commesso non è altro che:
εq = As − Am
Inoltre:
Ad
Ad
< εq <
2
2
Se la probabilità di campionamento di un simbolo è uniformemente distribuita su Ad , la distribuzione dell’errore di quantizzazione è:
−
ρ(εq )
1
Ad
−
Ad
2
Ad
2
Ad
L’errore di quantizzazione ha le stesse proprietà statistiche del rumore bianco
quindi è possibile associare all’errore una potenza e valutare il rapporto
segnale-rumore:
Ps
SN Rq =
Pεq
Poichè di εq si conoscono solo le proprietà statistiche:
Pεq
= σ2εq =
Z
Z Ad /2
2
εq · ρ(εq ) dεq =
=
−Ad /2
Ad /2
−Ad /2
ε2q ·
A2
1
dεq = d
Ad
12
Più si riduce la dinamica più la potenza diventa piccola e quindi la stima è
precisa; poichè:
S
Ad = N
2
Si ha:
S2
Pεq =
12 · 22N
Perciò più bit vengono usati più l’errore di quantizzazione si riduce perchè
la potenza associata all’errore sarà bassa.
4.3. Quantizzazione
95
Esempi
Onda triangolare
A(t)
S/2
t
−S/2
Poichè tutti i punti hanno la stessa probabilità di essere campionati:
ρ(As )
1
S
−
S
2
S
2
As
La potenza del segnale risulta essere:
Ps = σ2As =
Z
Z S/2
A2s · ρ(As ) dεq =
=
S/2
S/2
S/2
A2s ·
1
S2
dεq =
S
12
Il rapporto segnale-rumore:
Ps
SN Rq =
=
Pεq
S2
12
S2
12·22N
= 22N
Esprimendo in decibel tale risultato si ottiene:
SN Rq |dB = log10 22N = 6N dB
96
CAPITOLO 4. Convertitori
Onda sinusoidale
A(t)
S/2
t
−S/2
In questo caso non tutti i punti hanno la stessa probabilità di essere campionati in quanto la sinusoide è quasi piatta nei cambi di fronte quindi quelle
ampiezze hanno più probabilità di essere campionate:
ρ(As )
−
S
2
S
2
As
La potenza del segnale risulta essere:
Ps = σ2As =
S2
8
Il rapporto segnale-rumore:
Ps
=
SN Rq =
Pεq
S2
8
S2
12·22N
=
3 2N
·2
2
Esprimendo in decibel tale risultato si ottiene:
SN Rq |dB = log10
‡
Termine dato dal contributo di 22N
‡
3 2N
·2
2
= 6N ‡ + 1.76‡ dB
Termine dato dal contributo
3
2
espresso in decibel
4.3. Quantizzazione
97
Onda quadra
A(t)
S/2
t
−S/2
In questo caso invece i soli punti ad avere probabilità di essere campionati
sono i punti agli estremi dell’onda quadra:
ρ(As )
−
S
2
S
2
As
La potenza del segnale risulta essere:
Ps = σ2As =
S2
4
Il rapporto segnale-rumore:
SN Rq =
Ps
=
Pεq
S2
4
S2
12·22N
= 3 · 22N
Esprimendo in decibel tale risultato si ottiene:
SN Rq |dB = log10 3 · 22N = 6N ‡ + 4.77‡ dB
Segnale vocale
Per il segnale vocale la distribuzione di probabilità è di tipo gaussiano:
ρ(As )
−
‡
S
2
Termine dato dal contributo di 22N
S
2
‡
As
Termine dato dal contributo 3 espresso in decibel
98
CAPITOLO 4. Convertitori
La potenza del segnale risulta essere:
Ps = σ2As =
S2
36
Il rapporto segnale-rumore:
Ps
SN Rq =
=
Pεq
S2
36
S2
12·22N
=
1 2N
·2
3
Esprimendo in decibel tale risultato si ottiene:
SN Rq |dB = log10
1 2N
·2
3
= 6N ‡ − 4.77‡ dB
Questo rapporto è basso perchè la quantizzazione ad intervalli costanti di un
segnale che ha una distribuzione non uniforme è errata: sarebbe necessario
dare a campioni più probabili intervalli di ampiezza maggiore e a campioni
meno probabili intervalli con ampiezza minore.
Conclusioni
Il grafico seguente mostra al variare di N il rapporto SN Rq |dB :
SN Rq |dB
4.77
onda quadra
1.76
N
onda sinusoidale
onda triangolare
-4.77
segnale vocale
Se i campioni non coprono completamente la dinamica si commette un errore
di sovraccarico.
‡
Termine dato dal contributo di 22N
‡
Termine dato dal contributo
1
3
espresso in decibel
4.3. Quantizzazione
99
A(t)
S/2
App
t
−S/2
La potenza del segnale risulta essere:
Ps =
App
8
Il rapporto segnale-rumore:
Ps
=
SN Rq =
Pεq
A2pp
8
S2
12·22N
=
3 A2pp 2N
·
·2
2 S2
Esprimendo in decibel tale risultato si ottiene:
SN Rq |dB = log10
3 A2pp 2N
·
·2
2 S2
!
= 6N ‡ +1.76‡ +20 log10 (App ) ‡ −20 log10 (S) ‡ dB
L’errore è dato dal termine:
+20 log10 (App ) − 20 log 10 (S) dB
in quanto l’intervallo utilizzato non è appropriato: infatti occorre adattare
la dinamica del segnale alla dinamica del convertitore.
Termine dato dal contributo di 22N ‡ Termine dato dal contributo 32 espresso in decibel
Termine dato dal contributo A2pp espresso in decibel ‡ Termine dato dal contributo
1
espresso in decibel
S2
‡
‡
100
CAPITOLO 4. Convertitori
4.4
4.4.1
Realizzazioni circuitali
Errori
In generale gli errori di un si dividono in:
. errori statici ;
. errori dinamici.
Gli errori statici sono:
. di offset;
. di guadagno;
. di non linearità assoluta o integrale;
. di non lineartià differenziale.
Gli errori dinamici sono:
. tempo di assetto;
. glitch.
4.4.2
Convertitori D/A
Convertitore potenziometrico
Il convertitore potenziometrico prevede tante resistenze quante sono le cifre
binarie 2N ; lo schema circuitale con cui viene realizzato è il seguente:
4.4. Realizzazioni circuitali
101
VR
R
R
≈
R
VLSB
R
La tensione pari ad 1 LSB (least significant bit) vale:
VLSB =
VR
VR
·R= N
·R
2
2N
Mediante un cursore è possibile posizionarsi su resistenze diverse e quindi
su cifre diverse; in generale alla m−esima resistenza:
Voutm =
m · VR
VR
· mR =
·R
2N
2N
La tensione in uscita prima di essere misurata viene amplificata con un voltage follower per evitare distorsioni date da un carico.
L’inconveniente di questo dispositivo è l’elevato numero di resistenze.
Convertitore a resistenze pesate
Con N bit:
va = Ad ·
dove bi = {0, 1}.
Lo schema circuitale è:
N
−1
X
i=0
bi · 2i
102
CAPITOLO 4. Convertitori
Blocco Sommatore
R
RF
VR
2R
Itot
4R
≈
−
+
≈
Va
2N −1 R
Sulla resistenza 2N −1 R scorre la corrente più piccola quindi corrisponde all’
LSB (Least significant bit); viceversa sulla resistenza R scorre la corrente
più grande perciò corrisponde al MSB (Most significant bit).
La tensione in uscita è:
Va = −RF ·
N
−1
X
i=0
N
−1
X
1
RF
VR
bi · i
=−
· VR ·
bi · i
2 ·R
R
2
i=0
Il problema di questo circuito è dato dalla resistenza interna del generatore
Rg :
Rg
R
RF
VR
2R
4R
≈
Itot
≈
−
+
Va
2N −1 R
In queste condizioni la corrente che scorre in ogni ramo dipende da quali
interruttori sono aperti e quali chiusi in quanto la tensione verrà ripartita
fra Rg e il numero di resistenze che presentano lo switch chiuso.
Deviatore di corrente
Il problema illustrato precedentemente può essere risolto in questo modo:
4.4. Realizzazioni circuitali
103
Rg
R
VR
2R
Itot
4R
≈
≈
2N −1 R
Le resistenze inserite sono meno numerose rispetto al convertitore potenziometrico, ma c’è molta dispersione fra i componenti.
Converitore con rete a scala
Un converitore con rete a scala evita la dispersione dei componenti; ad
esempio un convertitore a 3 bit presenta il seguente schema circuitale:
I
VR
2R
I/2
R I/2
R I/4
2R
2R
I/4
2R
I/8
I/8
RF
−
+
Vout
Partendo infatti da un circuito base (a sinistra) è possibile inserire un
parallelo per simulare la resistenza R (a destra):
104
CAPITOLO 4. Convertitori
I
VR
=⇒
R
VR
2R
2R
I/2
I
I/2
Si sostituisce alla resistenza 2R una serie di due resistenze di valore R:
R
I
VR
2R
R
I/2
I/2
Iterando il procedimento:
I
VR
R
2R
R
I/2
R I/2
I
=⇒
VR
I/2
2R
2R
I/2
2R
I/4
I/4
Fonti di errore
Le fonti di errore per i convertitori D/A sono:
. il valore delle resistenze (sarà diverso da quello nominale);
. il valore della resistenza interna del generatore;
. in corrispondenza della cifra binaria con tutti 0 la tensione in uscita non sarà Vout = 0: la diversità è causata dalle correnti di bias
dell’operazionale;
. il valore della resistenza di retroazione RF : causerà un errore di gaudagno;
. la rapidità di commutazione dell’uscita dipende dalla velocità con cui
l’operazionale cambia l’uscita: è un errore di assetto che dipende dallo
slew rate dell’amplificatore.
4.4. Realizzazioni circuitali
4.4.3
105
Convertitori A/D
Convertitore Flash
Per un converitore flash occorre generare i valori delle tensioni di soglie; lo
schema è:
Vin
VR
R
R
LOGICA
D
≈
R
R
Il tempo di conversione è molto basso:
Tc = Tcomp ‡ + TL ‡
Lo svantaggio di questi convertitori è l’elevata complessità.
Convertitori con D/A in retroazione
Questo tipo di convertitori confrontano l’ingresso con soglie generate dal
D/A in retroazione. Lo schema generale è:
◦
LOGICA
D/A
‡
Tempo di comparazione dei comparatori di soglia
‡
Tempo della logica di decodifica
106
CAPITOLO 4. Convertitori
Convertitore a inseguimento Nel convertitore a inseguimento la logica
di controllo è un contatore up/down:
=⇒
LOGICA
U/D COUNT
Le caratteristiche di questo convertitore sono:
. l’uscita viene determinata a meno di un LSB;
. il tempo di conversione è molto lungo: Tc = 2N · Tck ‡ ;
. la complessità logica è bassa;
. il fattore Tck va scelto in modo tale che in uno spostamento (in alto o
in basso) di un LSB si riesca a percorrere tutto l’anello di retroazione
dello schema a blocchi generale:
Tck > Tcomp ‡ + TL ‡ + Tass ‡
. se il converitore non riesce ad inseguire il segnale di ingresso si ha la
condizione di sovraccarico o overload; valutando in un periodo di clock
la variazione del segnale per non avere overload occorre che:
∂ Vi (t) · Tck < 1LSB
∂ t max
Convertitore ad approssimazioni successive sequenziale
do la dinamica del convertitore fra [0 ÷ S]:
S
CAMPIONE
S
S
=⇒
=⇒
1◦
Approx
S
=⇒
0
0
Ipotizzan-
0
2◦
Approx
0
3◦
Approx
Il primo step di confronto avviene con l’MSB: la soglia infatti è posta a metà
della dinamica S. Il numero di confronti da effettuare è al più N anzichè 2N
quindi:
Tc = N · Tck
La complessità del circuito non varia rispetto al caso precedente.
‡
Questo tempo di conversione è pari al passaggio da 0 alla dinamica S ‡ Tempo di
comparazione dei comparatori di soglia ‡ Tempo della logica di decodifica ‡ Tempo
di assetto
4.4. Realizzazioni circuitali
107
Convertitore ad approssimazioni successive parallelo Nel convertitore parallelo sono presenti più comparatori di soglia e i D/A in retroazione
presentano un numero di bit via via crescenti quindi la complessità del
circuito aumenta.
Convertitore a residui Utilizzando la tecnica:
S
S
· 2 confronto con
A − M SB ·
2
2
⇓
S
A − M SB ·
2
confronto con
S
4
⇓
A confronto con
S
S
+ M SB ·
4
2
In questo modo tutti i comparatori hanno in ingresso la stessa soglia e i
convertitori tutti ad 1 bit tuttavia il tempo di conversione è identico al
comparatore di tipo sequenziale.
Convertitore pipeline Per velocizzare il tempo di conversione è necessario utilizzare questo tipo di convertitore: introducendo un modulo Sample
& Hold si possono convertire diversi campioni nello stesso intervallo di tempo. Aumenta la complessità del convertitore perchè sono necessari, oltre ai
Sample & Hold, anche dei registri per avere traccia dei campioni convertiti.
Tabella riassuntiva
Nella seguente tabella si riportano i tempi di conversione e la complessità
introdotta per ogni tipo di convertitore.
Convertitore
Tempo Conversione
Complessità Circuito
Flash
1
2N
Pipeline
1
N
A residui
N
N
Appross. succ.
N
1
A inseguimento
2N
1
108
CAPITOLO 4. Convertitori
4.4.4
Sample & Hold
Il metodo più semplice per realizzare un circuito di questo tipo è:
Vin
CM
Vout
Idealmente, ad interruttore chiuso, il condensatore viene caricato alla tensione di ingresso Vin (fase di sample) mentre quando lo switch viene aperto
la tensione caricata viene mantenuta (fase di hold).
Nella pratica invece:
. durante il passaggio dalla fase di hold alla fase di sample si assiste ad
un transitorio temporale prima che il condensatore inizi a caricarsi;
questo transitorio prende il nome di setting time e la conversione non
può avvenire prima che si sia esaurito;
. durante il passaggio dalla fase di sample alla fase di hold si assiste a:
. jitter di apertura dell’interruttore (è quantificabile solo in modo
statistico);
. correnti di perdita che fanno scaricare il condensatore in modo
lento;
. errore di feedtrought dell’interruttore.
L’errore di feedtrought può essere minimizzato dimensionando in modo oppurtuno il condensatore CM .
Un esempio di realizzazione circuitale è:
CM
EVITA LA SATURAZIONE DELL’AO
−
+
Vin
−
+
Vout
Se l’interruttore è aperto le correnti di perdita sono formate dal contributo
del condensatore e dalla corrente di polarizzazione dell’operazionale.
Scarica