4 - dieet

annuncio pubblicitario
CAPITOLO IV
TECNOLOGIE DEI MATERIALI SEMICONDUTTORI
(C. Arnone, G. Lullo, S. Riva Sanseverino)
4.1. - Evoluzione della semiconduttoristica.
Nel Cap.I delle dispense del corso sono state analizzate le modificazioni del
comportamento elettrico dei materiali semiconduttori dovute alla presenza di piccolissime
percentuali di prescelte impurità droganti.
La possibilità di prevedere il comportamento dei semiconduttori drogati è legata
direttamente alla disponibilità di materiali aventi caratteristiche vicine a quelle dei modelli per
essi adottati nei calcoli teorici. I primi venti anni dalla invenzione del transistore (avvenuta nel
1947 ad opera di Shockley, Bardeen e Brattain) sono stati pertanto caratterizzati da un
notevole impegno di ricerca per sviluppare tecniche di produzione di materiali semiconduttori
di alta qualità.
Occorre sottolineare, a tal proposito, che l'evoluzione di tali tecniche è stata legata non
solo alle proprietà elettriche dei materiali, ma anche alle loro proprietà chimiche. Basti
ricordare la preferenza inizialmente data al germanio, perché chimicamente meno reattivo
del silicio e quindi più facile da controllare nei processi di produzione, purificazione e
drogaggio.
Da un punto di vista delle caratteristiche elettriche, come si vede dai dati riportati in
Tabella 1.1, i valori della mobilità nel Ge sono maggiori che nel Si; poiché la massima
frequenza di funzionamento di un dispositivo a semiconduttore dipende dalla velocità dei
portatori e quindi dalla loro mobilità, si pensò che il comportamento alle alte frequenze dei
dispositivi al Ge dovesse essere superiore a quello dei dispositivi al Si.
Le precedenti considerazioni restarono valide durante gli anni '50, quando i metodi di
fabbricazione delle giunzioni p-n utilizzavano principalmente le tecniche di crescita o di lega.
Non appena però si iniziarono ad impiegare le giunzioni diffuse e le esigenze di
miniaturizzazione dei circuiti elettronici si fecero sentire sulle tecnologie associate alla
semiconduttoristica, il Ge manifestò i suoi limiti. Ad esempio, non si riuscì, malgrado i vari
tentativi sperimentali condotti in laboratorio, a trovare un metodo semplice e conveniente per
introdurre nelle piastrine di Ge quantità prefissate di impurità in aree di piccole dimensioni,
per formare zone di tipo p e zone di tipo n su una stessa faccia della piastrina (tecnologia
planare). Di conseguenza, dato che la risposta dei dispositivi a segnali ad alta frequenza
(Dicembre 2004)
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 2
(> 1 MHz) oltre che dalla velocità dei portatori dipende anche dal tempo da essi richiesto per
muoversi da una zona all'altra, l’impossibilità di diminuire le dimensioni trasversali dei
dispositivi si trasformò in una limitazione della massima frequenza di funzionamento.
Inoltre, in relazione alla minore banda interdetta del Ge (0,67 eV) rispetto a quella del
Si (1,11 eV), anche a temperature non troppo elevate nel Ge drogato può aver luogo una
non trascurabile generazione di coppie elettrone-lacuna per effetto termico; ne consegue
una possibile cancellazione dell'effetto fondamentale del drogaggio che, sostanzialmente, è
quello di determinare il tipo di portatori (elettroni o lacune) cui è affidato il trasporto della
corrente nel materiale semiconduttore. Questa maggiore dipendenza della concentrazione
dei portatori liberi dalla temperatura, per valori di questa non molto elevati, insieme alla
limitazioni tecnologiche già dette, determinò la netta preferenza verso l'impiego del silicio al
posto del germanio.
La delineazione di piccole geometrie nelle piastrine di Si (e quindi il superamento delle
limitazioni nell'impiego in alta frequenza a causa dei minori valori di mobilità rispetto al Ge) si
rivelò subito facilmente possibile grazie a tre favorevoli caratteristiche tecnologiche ed ad
una vantaggiosa caratteristica di tipo economico:
1) la facilità di formazione di strati superficiali di un composto, il biossido di silicio
(SiO2), molto stabile e con ottime caratteristiche dielettriche;
2) la possibilità di applicazione di tecniche fotolitografiche;
3) i vantaggiosi rapporti dei coefficienti di diffusione delle impurità attraverso il Si ed il
SiO2;
4) il silicio di qualità sufficiente alla fabbricazione di dispositivi costa molto meno degli altri
semiconduttori. Nella forma di silice (SiO2) o di silicati costituisce il 25% della crosta
terrestre; per abbondanza è secondo solo all’ossigeno.
I film sottili di biossido di silicio si ottengono facilmente esponendo la superficie delle
piastrine di Si ad una atmosfera contenente O2 in un forno a temperatura elevata (900 1200°C). Pur essendo strutturalmente amorfo (senza un ordine periodico nella disposizione
dei singoli atomi, neppure in volumi microscopici) il biossido di silicio, quando è ottenuto con
processi di ossidazione termica, resiste bene alla maggior parte dei solventi e degli acidi più
comuni. Esso, inoltre, presenta la peculiarità di poter essere attaccato dall'acido fluoridrico
(HF) senza che le superfici di silicio non ricoperte da SiO2 vengano alterate sensibilmente.
Come detto al punto 3), altra proprietà vantaggiosa del SiO2 è che, nei processi di
drogaggio, la diffusione delle impurità procede bene sulle facce di Si esposte al drogante,
mentre non vi è praticamente alcuna diffusione attraverso lo strato mascherante di SiO2.
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 3
Le suddette proprietà fanno intuitivamente prevedere come sia possibile drogare
alcune zone di una piastrina di silicio "selettivamente" rispetto ad altre, permettendo la
realizzazione di geometrie le cui dimensioni trasversali possono spingersi fino alla
risoluzione consentita dalle tecniche fotolitografiche (attualmente - 2003 - intorno a 0,12
micron per dispositivi commerciali).
Le considerazioni esposte giustificano la rapida evoluzione della tecnologia del silicio
nell'ultimo ventennio, alla base dello sviluppo della "microelettronica", oggi sempre più
frequentemente sostituita dalla “nanoelettronica” per l’ulteriore riduzione delle dimensioni.
Pertanto, in quanto segue, si farà sempre riferimento al silicio.
4.2. - Crescita dei monocristalli.
Si è già ripetutamente osservato che piccole percentuali di droganti in un
semiconduttore
puro
sono
capaci
di
produrre
sostanziali
modificazioni
del
suo
comportamento elettrico. Ciò deve far riflettere sul fatto che il materiale semiconduttore di
partenza deve essere estremamente puro. Fissando una concentrazione drogante di
1015 atomi/cm3, affinché una piastrina di Si possa essere utilizzata per la fabbricazione di
dispositivi, è necessario che il contenuto per cm3 di impurezze non volute sia inferiore ad
1/100 della concentrazione minima prefissata; poiché un cm3 di silicio contiene circa
5 x 1022 atomi, non può essere tollerata una presenza di sostanze non volute in
concentrazioni superiori ad un atomo ogni 5 miliardi di atomi di silicio.
Un tale grado di purezza è senza dubbio tra i più elevati di quelli normalmente richiesti
per i materiali utilizzati in campo industriale; ne consegue che uno dei costi maggiori per le
industrie produttrici di dispositivi elettronici a stato solido è quello dell'approvvigionamento
dei materiali che, per poter essere impiegati, devono essere estremamente puri. E tale
requisito comprende non solo i materiali di base (semiconduttori e droganti) ma anche tutte
quelle sostanze chimiche e quegli ambienti che vengono a contatto o impiegate nelle varie
fasi del ciclo produttivo (solventi organici e inorganici, acidi, gas), la cui purezza, per questo
tipo di applicazioni, viene denominata "di grado elettronico".
Per i cristalli semiconduttori, oltre alla loro purezza, è necessario che essi siano esenti
da difetti e distorsioni nella struttura cristallina, poiché ogni difetto degrada la qualità elettrica
del materiale base, introducendo nel diagramma a bande di energia stati energetici
localizzati all'interno della banda interdetta (centri trappola e centri di ricombinazione).
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 4
Tra le varie tecniche di crescita di materiali semiconduttori in cristallo singolo o
monolitici (dalle parole greche “monos” e “lithos”, cioè “unica pietra”) e con il necessario
grado di purezza, accenneremo al metodo di Czochralsky. Si dispone del silicio policristallino
di alta purezza in un contenitore non reattivo e lo si porta alla temperatura di fusione del
silicio (1412°C), curando di immettere nel "crogiolo" anche la voluta percentuale di elementi
droganti (normalmente il Si viene prodotto con un drogaggio di partenza, p o n, dell'ordine di
15
3
10 atomi/cm ). Un piccolo campione di Si monocristallino (seme con struttura cristallina
cubica a facce centrate), della voluta orientazione cristallografica, viene portato a contatto
con la superficie fusa e fatto ruotare lentamente (fig.1). La rotazione assicura una sufficiente
uniformità termica per la crescita; la parte del seme immersa nel silicio fuso fonde
anch'essa; a questo punto al movimento rotatorio del seme viene aggiunto un moto assiale
verticale. Questo lento sollevamento determina il raffreddamento della zona di materiale fuso
aderente al seme, con conseguente formazione della "carota" monocristallina di dimensioni
trasversali molto maggiori di quelle del seme di partenza, ma con la medesima orientazione
degli assi cristallografici. Con questa tecnica si riescono a produrre attualmente carote di
cristalli singoli di silicio aventi diametro fino a 20-30 cm (8-12 pollici) e lunghi oltre un metro.
Fig. 1
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 5
Dalle carote si ottengono, per taglio, fette di Si dello spessore di 0.5 mm, le cui
superfici vengono levigate e lucidate con abrasivi via via più fini, fino a renderle speculari. A
questo punto le fette sono pronte per le successive fasi di lavorazione.
4.3 - Tecnologia planare
Con “tecnologia planare” si intende il processo di introduzione di impurezze volute in
aree di dimensioni molto piccole e sempre su una stessa faccia della fetta, allo scopo di
creare di regioni di tipo p e regioni di tipo n.
L'introduzione delle impurità avviene normalmente per diffusione attraverso le zone
della superficie della fetta non protette da uno strato di SiO2, che svolge la funzione di
maschera. La diffusione interessa normalmente uno spessore della fetta dell'ordine dei 5
micron; pertanto le giunzioni p-n ed i dispositivi così creati risultano molto prossimi alla
superficie e la maggior parte dello spessore della fetta ha solo funzione di supporto
meccanico.
Il processo di tecnologia planare è costituito da un ciclo di lavorazioni elementari
ripetuto più volte, in successione, ogni volta utilizzando maschere differenti. Uno dei
vantaggi principali di tale tecnica è che ogni fase di lavorazione viene applicata sull'intera
superficie della fetta, processando simultaneamente migliaia di dispositivi.
Il costo del processo di lavorazione, in se molto elevato, incide quindi sul costo dei
singoli dispositivi in misura inversamente proporzionale al loro numero sulla fetta.
Più dispositivi elementari (transistori, diodi, resistenze, capacità, ecc.) possono essere
creati su una stessa fetta, sempre in tecnologia planare, e collegati tra loro per realizzare
interi circuiti, che prendono il nome di "circuiti integrati" [IC – inventati da Kilby (Texas) e
Noyce (Fairchild Semiconductors) nel 1958; Kilby e Noyce l’anno successivo fondarono la
Intel]. E ancora, con il processo di integrazione negli anni sempre più spinto (MSI, LSI, VLSI,
ULSI, GSI), più circuiti possono essere collegati per formare un sistema complesso (ad
esempio, un microprocessore). Ogni dispositivo completo realizzato, sia esso un diodo, un
transistore
o
un
circuito
integrato
complesso,
viene
successivamente
separato
meccanicamente da tutti gli altri e costituisce il cosiddetto "chip", pronto per essere
incapsulato nel suo contenitore, normalmente di plastica nera, con i piedini che
rappresentano i terminali di accesso dall’esterno.
Esaminiamo sommariamente i vari passi di cui è costituito il processo planare. Essi
sono:
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 6
1) formazione dello strato epitassiale;
2) formazione dello strato di biossido di silicio;
3) rimozione selettiva del biossido;
4) deposizione delle impurità droganti;
5) diffusione;
6) impiantazione;
7) metallizzazione.
Mentre il primo e l’ultimo passo vengono effettuati normalmente una sola volta per ogni
fetta, le successive 5 fasi della tecnologia planare vengono ripetute più volte, con differenti
geometrie, fino alla completa delineazione delle strutture dei dispositivi e dei circuiti integrati.
L'ultima fase, la metallizzazione, ha lo scopo di realizzare sia le interconnessioni
elettriche tra i diversi dispositivi di un circuito integrato o tra vari circuiti integrati, sia le aree
di contatto per le saldature con gli elettrodi che connettono queste con i piedini (pin) del
contenitore, accessibili dall'esterno.
4.4. - Strato epitassiale.
Il processo epitassiale (dal greco “epi” e “taxi”, cioè "accresciuto sopra") consente di
realizzare sulla fetta di Si, che funge da substrato, un sottile strato monocristallino di silicio
con spessore e drogaggio opportuni. Tale processo viene impiegato soprattutto quando è
necessario sovrapporre ad uno strato più drogato uno meno drogato, o quando è richiesto
un controllo preciso dei profili di drogaggio (la tecnica della compensazione, con diffusioni di
impurità di tipo opposto, praticamente non consente un controllo sufficiente). Esso sfrutta la
reazione di riduzione del tetracloruro di silicio (SiCl4) ad opera dell'idrogeno:
SiCl4 + 2H2 → Si + 4HCl
Viene anche utilizzata la reazione chimica di riduzione dell’idrogeno nel silano (SiH4) :
SiH4 → Si + 2H2
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 7
Fig. 2
La deposizione degli atomi di Si sulla fetta è ottenuta dalla scissione della molecola del
gas SiCl4. Per il drogaggio di impurità si aggiunge al flusso di tetracloruro di silicio e idrogeno
o del diborano (B2H6) per gli strati di tipo p, o della fosfina (PH3) per gli strati di tipo n (fig.2).
Lo spessore dello strato diffuso dipende dal tempo per il quale si espone la fetta. La
temperatura di circa 1200 °C assicura la crescita monocristallina dello strato epitassiale sulla
fetta di Si. A temperature inferiori lo strato formato risulta invece policristallino; analoga
struttura viene ottenuta accrescendo lo strato epitassiale su un film di SiO2 accresciuto per
ossidazione su una fetta di silicio (tecniche MOS).
Occorre ricordare che per i drogaggi di tipo p vengono anche usate fette di nitruro di
boro (BN) poste in prossimità delle superfici delle fette di Si.
4.5. - Ossidazione.
Questo passo del processo planare consiste nella formazione di un film sottile di SiO2
sulla fetta di Si. Tale strato si ottiene facendo fluire dell'ossigeno sulla fetta portata ad alta
temperatura (tipicamente 900-1200°C). Affinché l'atmosfera di reazione sia quanto più
possibile incontaminata e controllata, le fette di Si vengono poste in vassoi di quarzo
all'interno di un tubo, anch'esso in quarzo, che attraversa assialmente un forno a
temperatura controllata. Viene impiegato il quarzo per la sua resistenza fino a temperature di
1200 °C (il punto di fusione del Si è 1412 °C).
La velocità di crescita del biossido di Si dipende sia dalla temperatura che
dall'orientazione degli assi cristallografici rispetto alla superficie di crescita. Il suo spessore
tipico è di 0.2 micron, ottenibile con una esposizione della fetta ad una atmosfera di
ossigeno secco per un'ora, a 1200°C. Più comunemente, però, l’O2 viene umidificato
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 8
facendolo gorgogliare in acqua a 95 °C, e in tal modo il tempo di ossidazione viene ridotto di
circa 10 volte. La reazione chimica che viene sfruttata è:
Si + 2H2O → SiO2 + 2H2
Al posto del biossido di silicio, spesso si impiega nitruro di silicio (Si3N4) per le sue superiori
proprietà di mascheratura.
4.6. - Fotolitografia.
Dopo che il film di SiO2 è stato depositato uniformemente sulla fetta di Si, occorre
rimuoverlo dalle zone in cui si vogliono effettuare le diffusioni delle impurità. Questa
rimozione selettiva viene effettuata con l'impiego di un materiale fotosensibile detto
"fotoresist", un polimero liquido che si trasforma in monomero se illuminato con luce
ultravioletta (UV). Ponendone poche gocce sulla fetta di silicio, preventivamente lucidata, e
centrifugando, il fotoresist si spande uniformemente, formando un film di spessore intorno al
micron.
Successivamente, al di sopra della fetta viene posta una maschera fotografica,
normalmente in vetro, con aree trasparenti e aree opache in corrispondenza rispettivamente
delle zone in cui si dovrà o non si dovrà effettuare la diffusione. Il perfetto allineamento tra la
maschera e la fetta viene realizzato con metodi ottici. In fig. 3 è illustrata la sequenza delle
varie fasi del processo fotolitografico dopo l’iniziale preparazione.
A questo punto può avvenire l'esposizione, dall'alto, con luce ultravioletta. Le zone
opache della maschera assorbono la luce, proteggendo quindi il fotoresist sottostante che
resta allo stato polimerico. Nelle zone illuminate, invece, il fotoresist si trasforma da polimero
a monomero. Tali zone vengono eliminate nella fase successiva di sviluppo, effettuata
immergendo il substrato in una soluzione basica contenente tipicamente idrossido di
potassio (KOH), lasciando così scoperte le sottostanti zone di SiO2.
Immergendo la fetta in acido fluoridrico (HF), le zone di SiO2 non protette dal resist
vengono attaccate, generando delle "finestre" sul substrato di silicio. Al termine di tale
processo, il resist ancora presente viene asportato con opportuni solventi organici (ad
esempio acetone), o con un attacco in fase gassosa in un plasma di ossigeno.
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 9
SiO2
Si
- Ossidazione
fotoresist
SiO2
Si
- Preparazione per la fotolitografia
luce U.V.
lastra di vetro con
zone opache
- Esposizione attraverso la maschera
- Rimozione del resist esposto (sviluppo)
- Attacco del SiO2
- Rimozione del resist non esposto
- Introduzione delle impurità (drogaggio)
Fig. 3
Quello appena descritto è il cosiddetto processo "positivo"; sono comunque diffusi
anche i processi "negativi", nei quali le finestre vengono generate in corrispondenza delle
zone del resist non illuminate.
4.7. - Diffusione delle impurità.
Questa fase del processo consiste normalmente di due passi: dapprima si depositano
le impurità desiderate sull'intera fetta, quindi si porta la fetta ad alta temperatura (circa
1000°C), alla quale le impurità diffondono nel silicio. Tale diffusione è analoga al processo di
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 10
diffusione analizzato in precedenza a proposito del meccanismo di trasporto delle cariche
libere, con la differenza che a temperatura ambiente la diffusività delle impurità è di molti
ordini di grandezza inferiore a quella dei portatori mobili. In altri termini a temperatura
ambiente gli atomi impurità sono immobili, e pertanto è necessario innalzare di molto la
temperatura della fette di silicio per poter apprezzare una utile diffusione delle impurità.
Il processo è governato dalla cosiddetta equazione della diffusione di Fick:
∂C
∂t
=D
∂2C
∂ x2
dove C è la concentrazione delle impurità droganti, D è la diffusività relativa al tipo di
impurità utilizzata per il drogaggio; D è funzione della temperatura secondo la legge:
D = Do e − Ea
/ kT
con Do costante ed Ea è definita come energia di attivazione.
Durante la deposizione del drogante in superficie vi è già una certa diffusione, secondo
l’andamento:


2
C( x , t ) = Cs erfc
= Cs 1 −
2 Dt
π


x
∫
x
2 Dt
0

2

e − v dv 


ove CS è la concentrazione in superficie.
Non appena la deposizione è completata, la concentrazione delle impurezze nella fetta
segue l'andamento gaussiano:
C ( x ,t ) =
N'
π Dt
e−x
2
/( 4 D t )
con N ' = 2
π D t Cs
La diffusione delle impurità dentro il Si procede per due meccanismi: le impurità
"sostituzionali" vanno a collocarsi direttamente nella vacanza di un sito reticolare non
occupato da un atomo di Si; le impurità “interstiziali” si collocano invece tra un atomo e un
altro, per effetto della maggiore distanza interatomica esistente ad alta temperatura, ma nel
successivo raffreddamento anch'esse si stabiliscono in siti reticolari del Si.
C. Arnone, G. Lullo, S. Riva Sanseverino - Tecnologie dei materiali semiconduttori
IV . 11
4.8. - Impiantazione ionica.
L’impiantazione ionica rappresenta un secondo metodo per l’introduzione di impurità
nel silicio. Un fascio di ioni opportuni (boro per drogaggi di tipo p o fosforo per drogaggi di
tipo n) viene accelerato con energie variabili da 30 a 200 keV in un ambiente sotto vuoto.
L’intensità del drogaggio e la profondità delle impurità (ionizzate) possono essere controllate
attraverso la corrente del fascio ed il potenziale di accelerazione. Questo processo è spesso
utilizzato per realizzare strati sepolti con concentrazioni di droganti maggiori di quelle degli
strati soprastanti. Strati passivanti di biossido di silicio costituiscono una efficace barriera
contro l’impiantazione, cosicché risultano drogate esclusivamente le zone sotto le finestre
nel SiO2 aperte con le tecniche fotolitografiche.
Un altro vantaggio dell’impiantazione ionica sta nel fatto che essa viene eseguita a
bassa temperatura. Di conseguenza, regioni diffuse in precedenza hanno una minore
tendenza ad allargarsi per diffusione termica, cosa che non è possibile ottenere procedendo
con diffusioni successive.
4.9. - Metallizzazione.
I collegamenti elettrici tra i dispositivi di uno stesso "chip" vengono normalmente
realizzati tramite un film sottile di alluminio. La deposizione del film avviene sotto vuoto, per
condensazione (sulla fetta) dell'alluminio in fase di vapore, generato per riscaldamento dalla
fase solida. Anche per tale deposizione si ricorre all'uso del fotoresist, delle maschere e
dell'attacco chimico delle zone non ricoperte.
La fig.4 mostra un esempio di semplice circuito realizzato con tecnologia planare,
ripetendo più volte i passi fin qui descritti.
Fig. 4
Scarica